I Can Die Now. - Intel Fab Tour!

Sdílet
Vložit
  • čas přidán 15. 05. 2024
  • Receive a $25 credit for Ting Mobile today when you sign up at linus.ting.com/
    Get 50% off on your annual Zoho CRM subscription at: lmg.gg/ZohoCRM
    Linus travels to Israel to get a tour an Intel Manufacturing Center known as Fab 28. This level of access is absolutely unprecedented.
    Discuss on the forum: linustechtips.com/topic/14245...
    Learn more about Intel Transistor's: • Evolution of Transisto...
    ► GET MERCH: lttstore.com
    ► AFFILIATES, SPONSORS & REFERRALS: lmg.gg/sponsors
    ► PODCAST GEAR: lmg.gg/podcastgear
    ► SUPPORT US ON FLOATPLANE: www.floatplane.com/
    FOLLOW US
    ---------------------------------------------------
    Twitter: / linustech
    Facebook: / linustech
    Instagram: / linustech
    TikTok: / linustech
    Twitch: / linustech
    MUSIC CREDIT
    ---------------------------------------------------
    Intro: Laszlo - Supernova
    Video Link: • [Electro] - Laszlo - S...
    iTunes Download Link: itunes.apple.com/us/album/sup...
    Artist Link: / laszlomusic
    Outro: Approaching Nirvana - Sugar High
    Video Link: • Sugar High - Approachi...
    Listen on Spotify: spoti.fi/UxWkUw
    Artist Link: / approachingnirvana
    Intro animation by MBarek Abdelwassaa / mbarek_abdel
    Monitor And Keyboard by vadimmihalkevich / CC BY 4.0 geni.us/PgGWp
    Mechanical RGB Keyboard by BigBrotherECE / CC BY 4.0 geni.us/mj6pHk4
    Mouse Gamer free Model By Oscar Creativo / CC BY 4.0 geni.us/Ps3XfE
    CHAPTERS
    ---------------------------------------------------
    0:00 Intro
    0:54 The Basics
    4:05 Suiting Up
    5:44 Enter the Fab
    7:13 Diffusion Land
    8:42 HVAC
    10:44 an F1 Pit Crew?
    11:22 Dry Etching
    12:20 Lithography
    13:53 Planarization
    14:26 AR Training
    15:23 Polishing
    17:00 Control Center
    17:57 Fab 38 Construction
    18:48 Things we didn't see
    21:45 Outro
  • Věda a technologie

Komentáře • 14K

  • @mplovecraft
    @mplovecraft Před 2 lety +5864

    Props to Intel for this visit. I know everyone is bagging a lot on them - and justifiably so at times, but they have a lot of cool people there. When I was a kid I wanted to write an essay about CPUs so I called them (this was before email) and asked for information and they delivered a huge box with manuals, models, posters and stuff to my home (also at a time when home delivery didn't exist where I live). I will always have a soft spot for them for doing all that for a nerdy kid.

    • @willwunsche6940
      @willwunsche6940 Před 2 lety +528

      That's such a cool story. The people that make up these companies are really awesome

    • @AnimeProfileP1c
      @AnimeProfileP1c Před 2 lety +124

      thats insane, love it.

    • @user-nu5ib2ri9o
      @user-nu5ib2ri9o Před 2 lety +301

      Wait a second, why does it say "employment contract" on the delivery note?!

    • @TheIdiotPlays
      @TheIdiotPlays Před 2 lety +162

      @@user-nu5ib2ri9o I mean I wouldn't mind that.

    • @wiredmind
      @wiredmind Před 2 lety +19

      That’s so cool!!

  • @adamsayer11
    @adamsayer11 Před 2 lety +7746

    After watching this I still don't understand how CPU's are made and am going to continue to believe that its just magic

    • @robkelly9258
      @robkelly9258 Před 2 lety +195

      Yeah at least we all understand a little bit more now.... i have definately learned something from this video....

    • @obsidianflight8065
      @obsidianflight8065 Před 2 lety +414

      @@robkelly9258 I learned that its god damnnnnnn expensive

    • @meyogy2414
      @meyogy2414 Před 2 lety +60

      Yep.
      I knew i wouldn't understand, but understand it better knowing that there are hundreds if not thousands of different processes that build the layers or "circuitry "

    • @High.on.Life_DnB
      @High.on.Life_DnB Před 2 lety +45

      @@obsidianflight8065 I kinda understand now why these chips are getting more and more expensive :/

    • @Cyber_Akuma
      @Cyber_Akuma Před 2 lety +286

      Basically, a CPU is a rock that we managed to trick into thinking. So... yeah, magic.

  • @markzachenberg2797
    @markzachenberg2797 Před rokem +1378

    Linus: "They don't want me to literally touch any of the equipment"
    Proceeds to pantomime within inches of the equipment

    • @Westfalica1988
      @Westfalica1988 Před rokem +32

      And then touches it ; )

    • @-BuddyGuy
      @-BuddyGuy Před rokem +55

      He was giving me anxiety waving around next to the machines, he jokes about the buttons but if you push the right button at the right time that's a few hundred grand going *poof*, and a big pain in the ass for a lot of people. His handler should have been stricter.

    • @johns1625
      @johns1625 Před rokem +6

      He was pointing to something and drove his finger into the glass and pretended like we all didn't see it lmao

    • @minmuseve5567
      @minmuseve5567 Před rokem

      @@johns1625 hes linus tho he can do whatever

    • @cssplayer91
      @cssplayer91 Před rokem +3

      Linus always had a touching problem 😂

  • @donalddolan7709
    @donalddolan7709 Před rokem +600

    My daughter works as a maintenance tech at an intel fab in Portland, OR. She absolutely refuses to tell us anything about what she does other than "I fix machines". She sent me the link to this video as "this is the best I can do of at least showing you what it looks like where I work, they explain more than I'm allowed to say.". I'm glad to get at least some picture!

    • @devanshudwivedi5875
      @devanshudwivedi5875 Před rokem +89

      Yeah those NDA's are no joke

    • @Alex-us3pz
      @Alex-us3pz Před rokem +46

      @@devanshudwivedi5875 Definitive! The clauses in the contracts contain extremely high fines. What is estimated there, will not be paid to you in your whole life.

    • @scottbrunson2460
      @scottbrunson2460 Před rokem +25

      @@Alex-us3pz And you get banned from all intel sites worldwide. So good luck finding work!

    • @Alex-us3pz
      @Alex-us3pz Před rokem

      @@scottbrunson2460 Holy smoke you are right! In the end, it doesn't matter. Your life is f****ed up when you cheat on Intel.

    • @tvkallavi2864
      @tvkallavi2864 Před rokem +18

      @@scottbrunson2460 and no other company would hire them either.. Because they too have secrets they want to protect..

  • @altus1226
    @altus1226 Před 2 lety +2088

    I am going to imagine that several percentage of CPUs made this day were made slightly more defective than otherwise thanks to a nearby Linus' wild gesticulations.

    • @WoLLy2k1
      @WoLLy2k1 Před 2 lety +347

      You just wanted an excuse to say gesticulations.

    • @pleaseenteraname6840
      @pleaseenteraname6840 Před 2 lety +113

      this might be unironically true lmfao

    • @baran9678
      @baran9678 Před 2 lety +38

      @@thunderxr2736 lmao

    • @deusexmachinareznov4975
      @deusexmachinareznov4975 Před 2 lety +60

      @@thunderxr2736 I'm sorry to tell you this but a country's legitimacy comes from the amount of guns that it has, not your feelings. And Israel has all the guns it needs to be legitimate right now.

    • @xelthiavice4276
      @xelthiavice4276 Před 2 lety +15

      @@thunderxr2736 rofl middle easten minecraft allah minkey XD

  • @Antenox
    @Antenox Před 2 lety +4149

    Intel: "Don't even touch our fab machines."
    Also Intel: *invites Linus "Butterfingers" Sebastian into their factory*

    • @shadesofmist9214
      @shadesofmist9214 Před 2 lety +175

      yeahs butterfingers and AMD Laptop under his arms

    • @kapone3047
      @kapone3047 Před 2 lety +122

      Watching Linus wave his hands around during this video made me so nervous (especially after the previous video I watched was him dropping a $5000 laser projector).
      Now I'm definitely clumsy myself, but I feel like Linus is way more confident in his own dexterity and coordination than he should be. Especially with extremely expensive things!

    • @ZFilms11
      @ZFilms11 Před rokem +39

      @@shadesofmist9214 To be fair, AMD and Intel are pretty goody-buddy like outside of competing. All people in the same industry usually love either competition, or just the industry in general. Coming in the fab with AMD equipment would probably give them a laugh haha.

    • @shadesofmist9214
      @shadesofmist9214 Před rokem +9

      @@ZFilms11 im not against AMD or Intel anyway , but its funny to see and i want to mention.

    • @Police_be_upon_him
      @Police_be_upon_him Před rokem

      @@shadesofmist9214 🤣🤣🤣

  • @matthewbaker8861
    @matthewbaker8861 Před rokem +510

    Really blows my mind that people could figure out how to make stuff like this.

    • @troloosauhund8747
      @troloosauhund8747 Před rokem +88

      Smart people and time. This is centuries of tech evolution.

    • @shyaka961
      @shyaka961 Před rokem +29

      This is decades of evolving technology

    • @Naokarma
      @Naokarma Před rokem +51

      It really feels like magic because we don't know the steps it took to reach this point. Everything was made one step at a time, but we're seeing thousands of steps beyond basic intuition at this point, and we didn't even see the actual company secrets, here. Just imagine the tech we'll have in 5-20 years when everything is AI-optimized or whatever else is being added to completely change the way we even view tech.

    • @alexspata
      @alexspata Před rokem

      Yeah bro, I feel you

    • @n1ppe
      @n1ppe Před rokem +1

      ​@@troloosauhund8747 That just makes it more incredible

  • @chriskoprowski1980
    @chriskoprowski1980 Před rokem +158

    I'm an electrician that has done a lot of work installing those tools at Intel. Like you I was in complete awe going into the fab for the first time and seeing everything in there. But trust me, after a few weeks of having to put on the bunny suits and go through all the procedures to work in there every day, the novelty of it wears off pretty quick, lol.

    • @Catb00i
      @Catb00i Před 6 měsíci +2

      What type of electrician are you?

    • @RP944
      @RP944 Před 6 měsíci +25

      @@Catb00i a very expensive one

    • @Ag89q43G0HyA
      @Ag89q43G0HyA Před 5 měsíci +2

      @@RP944 🤣🤣

  • @ramennoodles7328
    @ramennoodles7328 Před 2 lety +2413

    I like how Linus is wildly waving his arms around as he talks about how you can’t bump the machines

    • @carnifex2005
      @carnifex2005 Před 2 lety +85

      Because he's a pro arm waver.

    • @bruhmoment2312
      @bruhmoment2312 Před 2 lety +64

      You can see him bump few things lol

    • @nightwing8666
      @nightwing8666 Před 2 lety +139

      That was so anxious to watch, imagine the intel guys there watching lol

    • @berghwilliam
      @berghwilliam Před 2 lety +23

      Most of the machines weren't in-process so it's probably fine but yeah still probably made the Intel people sweat a bit.

    • @guidoferri8683
      @guidoferri8683 Před 2 lety +45

      He touched one at 11:22

  • @josiahct
    @josiahct Před 2 lety +5270

    It's really crazy how intricate they can make CPU's when over 50% of their factory is so foggy

    • @Mukis2k
      @Mukis2k Před 2 lety +170

      Nah they just have crappy camera equipment ;]

    • @toki3204
      @toki3204 Před 2 lety +119

      wdym foggy everything looks clear to me

    • @__-tz6xx
      @__-tz6xx Před 2 lety +583

      Haha all the blurred out parts of the video does make it look foggy. But they needed to protect those secrets.

    • @gregorychurch605
      @gregorychurch605 Před 2 lety +14

      @@__-tz6xx probably true, looks like blurring.

    • @KrisDouglas
      @KrisDouglas Před 2 lety +324

      @@gregorychurch605 it was a joke. Of course he meant the secrecy blurring.

  • @DartFrog815
    @DartFrog815 Před 11 měsíci +58

    Can you imagine the anxiety the staff had watching Linus walking around with the energy he had. I'm honestly surprised he got that far into the tour, with his arms flapping around the way they were.

  • @bean3829
    @bean3829 Před 7 měsíci +22

    honestly its hard to believe that we as humans went from using swords in battle to melting sand to make computers to process huge tasks, all within a century

  • @zollotech
    @zollotech Před 2 lety +4238

    I was so nervous seeing you wave your hands next to everything. Thanks for sharing, this was great. Shout out to the editors for the time it took to blur so much.

    • @YasinNurRahmanSGHS
      @YasinNurRahmanSGHS Před 2 lety +148

      same, i was cringing that he is gonna bump somrthing

    • @whitetiger88251
      @whitetiger88251 Před 2 lety +242

      The place was secret. As such, the raw video never left the facility not blurred. Some Intel individuals had to comb over everything prior to giving Linus’ team footage.

    • @sensky3328
      @sensky3328 Před 2 lety +4

      haha

    • @slartsa
      @slartsa Před 2 lety +116

      I was just about to type the same. Linus saying: "Because taking a machine like this offline for more than a few minutes at a time - very very costly" while violently waving his hands around was nerve wrecking.

    • @SL1CEND1CEN
      @SL1CEND1CEN Před 2 lety +29

      @Henry thats not the secret

  • @Apalapse
    @Apalapse Před 2 lety +9506

    Like a kid in a candy store. Loved this video, it's fascinating to see how it all comes together, and Linus looks so happy LOL

    • @LethalzPlayz
      @LethalzPlayz Před 2 lety +37

      daddy linus dream complete

    • @rikittu
      @rikittu Před 2 lety +28

      Ive wanted to see how it works but a 7 year old youtube video was the best thing before this video. This stuff is so fascinating to me.

    • @curt8806
      @curt8806 Před 2 lety

      twas boring.

    • @_Jake.From.Statefarm_
      @_Jake.From.Statefarm_ Před 2 lety +5

      As a commercial/industrial electrician doing these kind of projects is as exciting as is what they make. Imagine the time and craftsmanship that goes into that ;)

    • @forreutubey780
      @forreutubey780 Před 2 lety

      I'm Hex DEAD watching this.

  • @joshwilliams8863
    @joshwilliams8863 Před rokem +39

    As someone who teaches quantum and semiconductor physics at university, it was amazing to see Linus covering it for the first (?) time.

  • @christopherfoley9726
    @christopherfoley9726 Před rokem +38

    Super cool for Intel to grant you and a film crew inside this place and share their building process with us. Super rare and very humble, high five Intel. Well done👍

  • @PeterFaria
    @PeterFaria Před 2 lety +753

    “The told me not to touch anything” proceeds to wave hands, body, laptop near all the equipment the rest of the video. I can’t imagine the level of stress those workers had when they find out Linus is extremely clumsy.

    • @ethanlenning
      @ethanlenning Před 2 lety +146

      He said on the WAN show (I forget which episode but it was April 2022) that a ton of people in the fab knew who he was and wanted to get a picture or an autograph. He said it was a strange realization because he had so much admiration for them and their skill, yet they were so excited to meet him and were big fans lol. It was a cool story I recommend checking it out

    • @Lanka0Kera
      @Lanka0Kera Před 2 lety +46

      He did say most of the staff around were maintenance crew, so maybe Intel let him around to not-poke-at-things when the fab was going through some kind of maintenance cycle?

    • @adrammelech6323
      @adrammelech6323 Před 2 lety +8

      @@ethanlenning reminds me of the story of Maximilian(big fan of Final Fantasy) meeting Square Enix dev team, and them being fans of his, even watching his reaction video to FF7 Remake reveal as inspiration.

    • @acmenipponair
      @acmenipponair Před 2 lety +3

      @@Lanka0Kera I guess at the moment they maybe are,
      1. they have to prepare for 13th and 14th gen chips
      2. there is a building side next to their fab - I guess they will restart full production when that new part of Fab 28 is finished.

    • @primohippo4014
      @primohippo4014 Před 2 lety +3

      @@ethanlenning anyone else know which episode this was?

  • @craftedinsanity406
    @craftedinsanity406 Před 2 lety +3921

    Linus: "It's probably costing intel thousands of dollars to have us here."
    Me: Now we can blame Linus for the chip shortage.

    • @Killerspieler0815
      @Killerspieler0815 Před 2 lety +81

      YES, Linus contaminates everything ...

    • @eglandon16
      @eglandon16 Před 2 lety +33

      To be honest it probably didn't cost that much. It's not like they shut anything down for him and it's not actually needed to to have the clean room as clean as it is because the wafers don't usually see fab air as they either travel around in foups or are inside the tools

    • @craftedinsanity406
      @craftedinsanity406 Před 2 lety +41

      @@eglandon16 he was referring to the fact that not as many people were at their stations in the area where he said it. He also said that they'd all be manned in normal conditions. That wasn't word for word what we said, but that's the jist of it.

    • @eglandon16
      @eglandon16 Před 2 lety +22

      @@craftedinsanity406 lol if you think those stations are ever all manned boy do I have a surprise for you. They are never manned unless we are actively working on a tool. For covid the technician numbers in the fab didn't go down, the only thing different were the engineering teams started working from home.

    • @craftedinsanity406
      @craftedinsanity406 Před 2 lety +2

      @@eglandon16 I found the part of the vid I was talking about: 10:07

  • @varshvarsh9486
    @varshvarsh9486 Před rokem +6

    I just retired after working 30 years at the Chrysler minivan plant. And they were strict in the paint shop area. But this stuff is on a level that is insane. Love it.

  • @cardamomes
    @cardamomes Před rokem +85

    By no means am I a tech expert or I can pretend to understand even a quarter of what's going on here, I'm just a clinician but the enthusiasm and the energy you guys brought to this video is what professionals in a niche field love to see from their guests. It's a huge positive and motivating force. Well done!

  • @bottledwaterprod
    @bottledwaterprod Před 2 lety +1660

    Linus- "We can't touch ANYTHING!"
    Also Linus- touches and aggressively gestures at everything for the rest of the video
    Intel- *watches nervously*

    • @TheRyujinLP
      @TheRyujinLP Před 2 lety +76

      Intel- We're in danger...

    • @Hrethgir
      @Hrethgir Před 2 lety +13

      I was just waiting for him to error something out! Thought for sure the OHV was about to pick up a FOUP while he was waving his hand above it, not great to happen!

    • @mezmerizer0266
      @mezmerizer0266 Před 2 lety +24

      It's more irritating, and angering than anything.

    • @aninditabasak7694
      @aninditabasak7694 Před 2 lety +7

      @@TheRyujinLP From AMD and Apple.

    • @christofferlarssongb
      @christofferlarssongb Před 2 lety +7

      It made my anxiety skyrocket.

  • @LordSanDisk
    @LordSanDisk Před 2 lety +887

    CPUs still seem so "extraterrestrial" to me, it's just mind boggling how small everything is.

    • @ifur
      @ifur Před 2 lety +89

      I also can’t comprehend the detail, they “dust off” a few atoms… ATOMS ⚛️

    • @jonathanodude6660
      @jonathanodude6660 Před 2 lety +20

      have you tried working with cells? those things are literally alive and you can only really see them with a microscope.

    • @DarthVader1977
      @DarthVader1977 Před 2 lety

      Demonic power. We are unchaining the anti-Christ.

    • @LordFokas
      @LordFokas Před 2 lety +62

      It's really simple, they're just rocks we trapped lightning in and then tricked them into thinking.

    • @PeripheryFanboy
      @PeripheryFanboy Před 2 lety +22

      @@jonathanodude6660 Yeah but they're also not a product of human manufacturing lmao

  • @O_Ghostly
    @O_Ghostly Před rokem +18

    The company I work for supplies Intel with etch, wet deposition, and dry deposition tools. Kind of cool to see them in action at the customer sight. I also learned something from this video too. :)

  • @1234567895182
    @1234567895182 Před rokem +64

    Linus: You cannot touch *anything*
    Also Linus: 11:41 *boops window*

    • @Kaiyats
      @Kaiyats Před rokem +2

      He costed 3 months worth of work to be ruined

    • @scottbrunson2460
      @scottbrunson2460 Před rokem

      @@Kaiyats hope he didn't drop any copper ions in there!

  • @SUSHI4lyf
    @SUSHI4lyf Před 2 lety +1329

    It took YEARS for Intel to deliberate and finally decide to allow the living Dropper inside their factory that's allergic to drops, tremors, and vibrations.

    • @Medax27
      @Medax27 Před 2 lety +17

      I want to like your comments but it's on 69 likes, so, nice

    • @junoperberry
      @junoperberry Před 2 lety +3

      what are you taking about

    • @ivogada
      @ivogada Před 2 lety +46

      @@junoperberry Linus is known for his affinity to drop very expensive stuff :)

    • @junoperberry
      @junoperberry Před 2 lety +5

      @@ivogada thanks

    • @deadmanschest4322
      @deadmanschest4322 Před 2 lety +7

      if there would be a symbolic "Stone of Dropping" most people would say "touch it and you will drop items"... some few _wise_ people would say "this stone touched Linus" ;)

  • @NicolasTsagarides
    @NicolasTsagarides Před 2 lety +1144

    The amount of small details this factory can form on silicon is outstanding.
    Especially considering how blurry it is.

    • @Oscar4u69
      @Oscar4u69 Před 2 lety +145

      reminds me of some Japanese films 🧐

    • @fungamegg7339
      @fungamegg7339 Před 2 lety

      true

    • @whannabi
      @whannabi Před 2 lety +34

      @@Oscar4u69 40% visible 60% up to your imagination. That's the beauty of it.

    • @leovang3425
      @leovang3425 Před 2 lety +6

      @@Oscar4u69 what? What are you watching that's so modern they blur? It's always been pixelated?

    • @myztik5716
      @myztik5716 Před 2 lety +1

      @@leovang3425 15:38

  • @danilom3166
    @danilom3166 Před měsícem +2

    About a decade ago a guest MBA professor gave a lecture on the overall steps of making a CPU.. the room was full os engineers, business, finance, logistics people, etc.. I remember it very clearly.. the sheer complexity of it all absolutely blew everyone's mind.

  • @ErikS-
    @ErikS- Před rokem

    One of the best videos on this channel. If not the best.
    Just love to see the internals of one of Intels fabs.

  • @johnbenton5102
    @johnbenton5102 Před 2 lety +528

    Never in a million years did I think I'd be watching this kind of content on CZcams. You have truly elevated the entire tech industry and helped democratize information for everyone. Thanks so much for everything you and everyone at LTT does.

    • @mega_gamer93
      @mega_gamer93 Před 2 lety +15

      How does saying public information and showing blurred machines "democratize" information?

    • @trollwayy5981
      @trollwayy5981 Před 2 lety

      Not in a million years?

    • @EpicWolverine
      @EpicWolverine Před 2 lety +23

      @@mega_gamer93 there is no other video with this level of fab access anywhere

    • @Robot404_
      @Robot404_ Před 2 lety +9

      @@EpicWolverine Right but there's much more info about what goes on inside in research articles. Not nearly as entertaining, but if you're going to be doing anything with the information this video isn't going to be very helpful. Information is "democratized" in the form of public funding for research where the papers on it are public.

    • @abhaysingh7223
      @abhaysingh7223 Před 2 lety +1

      He sure does

  • @hajonnut
    @hajonnut Před 2 lety +386

    Your explanation only made an even better case for the fact that : Yes, we did indeed use Magic (and Alchemy) to trick a rock into thinking for us

    • @captainharpoon
      @captainharpoon Před 2 lety +6

      Yes :)

    • @cyb3ar897
      @cyb3ar897 Před 2 lety +28

      It's really mindblowing to think about how we, as a species, are capable of engineering things on the nanometer and sub-nanometer scale. Just absolutely wild

    • @Kilometers_KPH
      @Kilometers_KPH Před 2 lety +1

      Was the answer 42?

    • @Jaker788
      @Jaker788 Před 2 lety +1

      This is the real magic of crystals. The amount of effort in just the silicon crystal growth, cutting, and polishing is wild.

    • @weldernick3750
      @weldernick3750 Před 2 lety

      This may be the best comment on a video I have seen in a good while

  • @truckingpix
    @truckingpix Před 7 měsíci +1

    I've watched a million of your videos and I think this one is my favorite so far... incredible!!!!

  • @sebastianromero3759
    @sebastianromero3759 Před rokem

    It's crazy you were able to get in! I've always wanted to see what a fab looks like nowadays. Amazing stuff from Intel

  • @zeronxepher
    @zeronxepher Před 2 lety +2084

    I work in semiconductors as a production tech and this video is absolutely amazing. It's so hard to tell people exactly what I do because I can't actually show them but this video shows everything I would want to show them. Explaining all the photolithography, etching, implanting, and even why we gown up top to bottom is kind of daunting and I'm glad Linus is able to explain it all in just a few slides. Thank you for making such an insightful video that I can show my friends and tell them what exactly I do 12 hours a night.

    • @renatoigmed
      @renatoigmed Před 2 lety +7

      how long to break the nanometer barrier to an even smaller scale?

    • @LowlyWizrd
      @LowlyWizrd Před 2 lety +81

      @@renatoigmed 10 nanometers are literally on the order of ~100 of atoms wide. You start getting down to that scale and you're beholden to more quantum mechanical effects than you're really wanting. I don't know much, condensed matter physics is not my field (I work in organic semiconductors for display technology), but the smaller it goes, the more you're going to get interrupted by the electron wavefunctions having some ability to just jump the potential gap.
      Quantum mechanics, in it's probabilistic nature, means that going smaller than around ~5 nm is gonna be really hard without some novel innovations to exploit these effects or substantially suppress them. Mind, there are some insanely smart cookies working on this stuff, so I'll certainly be pleasantly surprised to see a chip that does it. But, you can only go so low.

    • @CrazyPlayer-pf2hv
      @CrazyPlayer-pf2hv Před 2 lety +4

      "All past videos before December 2018 will have comments disabled."
      Why?

    • @zeronxepher
      @zeronxepher Před 2 lety +5

      @@CrazyPlayer-pf2hv because I no longer make content.

    • @alexanderwalter4595
      @alexanderwalter4595 Před 2 lety +9

      I wonder why various labels and signs on the machines need to be blurred in the video. What can be so proprietary on a sign?

  • @LuisCastillo-tg6xw
    @LuisCastillo-tg6xw Před 2 lety +769

    The real heroes are the editing crew as they had to go through multiple revisions to get it approved for uploading.
    What an amazing and informative video, never thought I would see such a magnificent facility

    • @CoLiC2
      @CoLiC2 Před 2 lety +81

      I'm semi convinced Intel would never let LTT do the blurring. My guess is that LTT cut everything together and had Intel censor it.

    • @yavoyeah
      @yavoyeah Před 2 lety +1

      OMG I LOVE HEROES. THESE GUYS ARE SUCH HEROES!! LOOK, THEY PUT ON ROBES AND WENT INSIDE A BUILDING! I'M LITERALLY CUMMING IN MY PANTS

    • @Quinn-Brittain
      @Quinn-Brittain Před 2 lety +52

      The raw footage might've been blurred first by Intel then editors worked on it.

    • @RaiOkami
      @RaiOkami Před 2 lety +41

      Yes, the footage would have had to go through numerous security reviews before being published. A lot of these probably have been cut and scrubbed clean as they are not allowed to even show the equipment manufacturers and suppliers they use. Also, pretty sure they had lengthy paperwork and orientations even prior shooting.

    • @OgLatinoHeat
      @OgLatinoHeat Před 2 lety +2

      @@RaiOkami why aren't they allowed to show any of that stuff? what's so secret about it?

  • @IVWebMedia
    @IVWebMedia Před rokem +3

    Such a cool video! I worked at Microchip Inc. for a few years in the wet etch department. It was a very repetitive job but so cool to go through the other departments and see the process of how the chips are made.

  • @netunooog
    @netunooog Před rokem

    I would love to do what you did here. What a amazing experience and thx for taking me (use on youtube) with you. Super cool

  • @justinpearson9170
    @justinpearson9170 Před 2 lety +1623

    Linus: "You don't wanna ever bump these machines while they are running!"
    Also Linus: *Talking aggressively in hand gestures next to the machine*

    • @Lucianrider
      @Lucianrider Před 2 lety

      Yep, he is the absolute worst person to have inside a facility like that!! He is the proverbial "Bull in a China shop"!!

    • @ilankutsman4965
      @ilankutsman4965 Před 2 lety +39

      Israelis talk a lot with their hands...I would know, I am one.
      I don't think anyone got nervous in the FAB while Linus was talking.

    • @phillipthouet4625
      @phillipthouet4625 Před 2 lety +103

      @@ilankutsman4965 well its Linus so i would be nervous

    • @phillipthouet4625
      @phillipthouet4625 Před 2 lety +11

      @@ilankutsman4965 11:22

    • @BalmaneGames
      @BalmaneGames Před 2 lety +7

      hahahahahahahahahhahahahahaha

  • @mike64_t
    @mike64_t Před 2 lety +1058

    To quote Andrej Karpathy: "I don’t think a regular person appreciates how insane it is that computers work. I propose we stare at each other mind-blown for about 1 hour/day, in small groups in circles around a chip on a pedestal, appreciating that we can coerce physics to process information like that."

    • @MRSketch09
      @MRSketch09 Před 2 lety +16

      💖 Isn't that the truth.

    • @chrisakaschulbus4903
      @chrisakaschulbus4903 Před 2 lety +40

      In general it's amazing what humanity can accomplish. We have small ultra powerful chips, we have fast LCDs, cameras, motion sensors... we can combine them to make a headset that lets you dive into virtual worlds.
      Sometimes i just have to stop and actually take it in... because it seems so impossible.

    • @lee0495
      @lee0495 Před 2 lety +47

      We literally taught rocks to think.

    • @imranq9241
      @imranq9241 Před 2 lety +4

      I mean it's not that impressive when you study them for a week or two. The digital transistor was the real breakthrough, but everything else has been incremental advances for the past 50 years relatively speaking

    • @AnubisSilva
      @AnubisSilva Před 2 lety +3

      We literally made a stone "think".

  • @maxwang2537
    @maxwang2537 Před 4 měsíci

    Thank you so much for leaving parts of the video frame beyond the guy talking not blurred, so I’m still able to peek into something.

  • @moe6806
    @moe6806 Před 10 měsíci

    I am actually surprised they allowed you to tour this beautiful facility. good on you for visiting the holy land. one of these days i'll visit hopefully.

  • @omgMBP
    @omgMBP Před 2 lety +743

    It’s pretty clear your editing team had some serious hoops to go through on this video. Congrats to the team, I certainly appreciate it.

    • @Aikano9
      @Aikano9 Před 2 lety

      Probably had someone from intel with them constantly pointing out things to censor and cut, then had the video reviewed and changed hundreds of times by others to be 100% sure nothing ultra secret gets leaked

    • @haasii
      @haasii Před 2 lety +49

      foups

    • @adreiiaii510
      @adreiiaii510 Před 2 lety +69

      @william_prlt They were likely given a temp office area to review and edit the footage in a supervised environment, then required to destroy the uncensored media prior to leaving.
      My company allowed a media outlet in for a tour a few years ago and this was our procedure. We even rented camera equipment for them, so that the only thing they left with was an external hard drive with the edited footage.

    • @crispyglove
      @crispyglove Před 2 lety +17

      @@adreiiaii510 That's what I had assumed they did in this case. There's too much at stake for Intel to risk any possibility of a leak.

    • @Aurielxo
      @Aurielxo Před 2 lety +21

      Well I guess there is a reason this video was recorded last November and only just now released.. lot's of double checking one would think

  • @3D_foos
    @3D_foos Před 2 lety +616

    great to see my babies (or their grandkids) still in use, i was part of the team to develop those overhead foup carriers for the launch of 300mm wafers back in the day. i was shocked on the amount of people there until i see the 1 thing you forgot to blur. a label on a foup stating the wafers are for testing only and not for sale. i'm guessing they were not running any production and were having a training/maintenance day. in 1 shot alone i seen more people than fabs i've been to had to fully staff the entire production facility.

    • @acmenipponair
      @acmenipponair Před 2 lety +50

      Well, I'll guess they are recalibrating the machines for Gen 13 or even Gen 14. Gen 12 is factory wise already old tech, I would bet

    • @wta1518
      @wta1518 Před 2 lety +89

      Obviously they weren't going to just let Linus loose when they are actively producing chips.

    • @snjert8406
      @snjert8406 Před 2 lety +1

      Where was it?

    • @Bremend
      @Bremend Před 2 lety +2

      Did you work at EFK on the original MHS system?

    • @vectorentertainment1733
      @vectorentertainment1733 Před 2 lety +3

      That's awesome!

  • @mofatjippyfransisco713

    Fab and final test equipment maintenance tech for 27 years, worked for Intel, IDT...Maxim Integrated...HitachiGST. Wish you would have shown the coolest part of the vacuum tools, the mech pumps ( Blowers) and the turbos used to pump down the vacuum and transfer chambers on most of vacuum tools...awesome to watch and hear them fire up. Venting the chambers to work on them, and pumping them back down...is the most time consuming aspect of working on them. That unique pumping sound you heard in the Chem cabinet was a peristaltic pump, probably feeding chemicals to one of the scrubber tools next to one of the CMP tools. Everything inside the CMP tools is wet, sometimes went through 2 or 3 bunny suits a shift working inside the tools. It was fun seeing wafers go from tiny tea cup size to turkey serving tray size ( 6 and 8 inch were the most common for my tools, until going to Intel )

  • @isaackvasager9957
    @isaackvasager9957 Před 2 lety +626

    I know people like to crap on Intel and AMD, but this really shows how complex and expensive CPU manufacturing is. Honestly, it's bonkers that you can even get a base CPU for $150. It also shows how vulnerable we are as a society. There are very few of these plants around the world...effectively powering our entire modern world.

    • @CarlosXPhone
      @CarlosXPhone Před 2 lety +62

      Yes, exactly. $40 Billion for a process? I don't even want to look at the C.E.O's financial books. To find an investor to bankroll these chips? I'm sorry, you're gonna need more than one. I imagine that whole building costs Trillions over the course of a decade just to build multiple chips at a time. I knew chips making was going to be complicated and expensive... but this video takes that scale to 100.

    • @alexandrebelair4360
      @alexandrebelair4360 Před 2 lety +10

      @@CarlosXPhone Economy of scale my friend.

    • @alexandrebelair4360
      @alexandrebelair4360 Před 2 lety +25

      Economy of scale my friend.
      Also, those 150$ chips are defects of more expensive chips.

    • @aleksazunjic9672
      @aleksazunjic9672 Před 2 lety +2

      So, what would happen if Iran knocks out this factory ? AMD shares suddenly go up astronomically ? This could actually be a good business plan :>>

    • @arc8218
      @arc8218 Před 2 lety

      @@aleksazunjic9672 if that happen probably iran also got some damage too in their internet structure. AMD maybe cannot supply all cpu for whole world. that just worst decision to attck this factory lol but IF that literally happen then maybe we as regular costumer gonna fuckep up lol, just look at 2021, just a virus already make gpu prices high af now imagine if war happen

  • @JeffGeerling
    @JeffGeerling Před 2 lety +6310

    Linus: You can't even touch the machines because they're so precise.
    Also Linus: Let me keep tapping on these machines while I show you what's inside 🤣

    • @boxdog54
      @boxdog54 Před 2 lety +307

      Wonder how much he cost them lol 🤣

    • @Knobelbernd
      @Knobelbernd Před 2 lety +535

      Yeah serious flailing and waving around going on. I Was scared the whole time

    • @Pickleman9686
      @Pickleman9686 Před 2 lety +84

      You would almost think he has a little Red Shirt Jeff with him...

    • @JK41O1
      @JK41O1 Před 2 lety +488

      Linus forced the next chip shortage. Intel now has to recalibrate all the machines after Linus went in.

    • @firesurfer
      @firesurfer Před 2 lety +173

      @@boxdog54 Perhaps 100k to 300k us. It might have been more but they were clearly taking the opportunity to do maintenance.

  • @GregSr
    @GregSr Před 9 měsíci +10

    Years ago I was a vendor to a US company that made the chip carriers for Intel. As a senior applications engineer, I was asked to write a CNC routing program that created a precision pocket within the chip carrier for the chip to be imbedded. The equipment was a single spindle vision assisted machine called a "SMART Router". I used a 0.032" router bit to cut the pocket. The tolerance for the routing was +50 microns, -0 microns. Any negative error would destroy the carrier by damaging the gold traces inside the carrier. The router bit would wear in a predictable way so the software could adjust the cutter path on the fly to ensure consistent dimensioning. Otherwise, as the router bit would wear, its diameter would shrink causing the part to fall out of tolerance. There were special .020" pads (fiducials) inside the chip carrier that the vision system would locate and then correct the path of the cutter. There was one room with about 30 of these SMART Routers.

  • @Krilllind
    @Krilllind Před 2 lety +728

    I just want to give a shout-out to the editors of this video. The amount of blurred content you guys had to go through must have been insane. Great job on the final result and quality!

    • @pinnacleexpress420
      @pinnacleexpress420 Před 2 lety +43

      Said editors were prolly Intel tbh

    • @bobthemagicmoose
      @bobthemagicmoose Před 2 lety +38

      Yup, no way intel would let that info out of the building

    • @onethreefivesix7254
      @onethreefivesix7254 Před 2 lety +30

      the credits (21:48) say that Dennis edited it, which seeing as he was also the shooter would probably have been fine with Intel.

    • @ssc1348
      @ssc1348 Před 2 lety +7

      @@bobthemagicmoose I guess thats why he was carrying the laptop

    • @ShoRyuKen154
      @ShoRyuKen154 Před 2 lety

      What about that shot-out to Guy lol. I'm still wondering if that's his real name or just some guy.

  • @slartibartfast2649
    @slartibartfast2649 Před 2 lety +804

    This makes CPUs seem really good value. The amount of time and resources that go into them. The most precise engineering in the world. Absolutely insane.

    • @lauej
      @lauej Před 2 lety +48

      To be fair, it's hundreds of dollars for mere grams of silicon.

    • @Ishsa
      @Ishsa Před 2 lety +100

      @@lauej And yet it seems pretty fairly priced

    • @Grimlo9ic
      @Grimlo9ic Před 2 lety +35

      What you said! Plus, assuming you bought the correct CPU for your needs, you usually end up using them for multiple years anyway so you totally get your money's worth.

    • @MrPointmidget
      @MrPointmidget Před 2 lety +19

      @@lauej If they didn't have the volume in production the price per chip would be a lot more money. That's why they run flat out 24/7 364. Volume keeps the price of R&D down by percentage.

    • @hankschrader5507
      @hankschrader5507 Před 2 lety +8

      OTOH, this should make you realize that the common folk is a bad estimator of true resource investment from the OEM, hilariously bad actually (diamonds, NFTs…) and that value is perceived.
      This should make us grateful and also fierce defenders of genuine competition, if the only fab in the world was this one and Intel had a monopoly, you’d never think anyone could do it better or cheaper.

  • @PhenomDesign
    @PhenomDesign Před rokem

    awesome video i dont have other word , you are so lucky to visit this factory thanks for sharing contents.

  • @mtnbiker1678
    @mtnbiker1678 Před rokem

    Nice work Linus! Great video, very informative, educational and entertaining. Thanks!

  • @OriginalUnknown2
    @OriginalUnknown2 Před 2 lety +629

    as a sysadmin, all I see in this factory is complete and utter ***STRESS*** - if any machine needs any single, simple step of maintenance or troubleshooting, I'd be sweating bullets, knowing just a minute of downtime could cost tens - hundreds of thousands of lost revenue.. That is crazy! Huge props to any team managing such a factory!

    • @gunnargu
      @gunnargu Před 2 lety +21

      Having played Factorio, meh, just make sure you have a lot of machines that can do the same step, so instead of halting production, capacity decreases while it's down.

    • @Momi_V
      @Momi_V Před 2 lety +42

      @@gunnargu exactly. Productivity decreases, thus causing tens of thousands of dollars in lost revenue.

    • @btat16
      @btat16 Před 2 lety +74

      @@gunnargu Person A, Sysadmin. Person B, played Factorio. I think I know who to put more trust in.

    • @stefanpeschke3666
      @stefanpeschke3666 Před 2 lety +16

      Wanna know what's stressfull? Try having to design software or apply a patch in such an environment - you simply pray it works every time!
      You do get used to it though ;-)

    • @hampussepe9487
      @hampussepe9487 Před 2 lety +18

      As an automation engineer doing the software upgrades on stuff like this, the best feeling is a download and nothing stoped working.

  • @daniellabunsky653
    @daniellabunsky653 Před 2 lety +538

    My Dad worked at Fab3 in Silicon Valley in the early 80’s on the Pentium team. He holds the patent for the polishing process that’s used after deposition. Of course it’s been updated a ton since then, but he was the first to come up with those brush/slurry polishers. Feel free to reach out Linus if you want more info.

    • @mr.inconspicuous6395
      @mr.inconspicuous6395 Před 2 lety +23

      I’m no expert but I doubt even the patent holder can talk about said things because of Intel and contracts.

    • @daniellabunsky653
      @daniellabunsky653 Před 2 lety +48

      @@mr.inconspicuous6395 100% facts, I agree, no details can be given at all; that being said there’s plenty of room for conversation, especially as pertains to the technology that was definitely cutting edge in the 80’s but really just laid the groundwork for the tech we saw in the video. I guess I was just saying that if they wanted more background or to even see/discuss the OG patent (it is public info after all), that I could put LTT in touch with my pops.

    • @daniellabunsky653
      @daniellabunsky653 Před 2 lety +58

      @@mr.inconspicuous6395 edit: I lied, all the Intel specific stuff is still definitely under wraps, but he continued the work for some time at other companies and those patents are indeed public.
      Fun fact: supposedly somewhere on the P3 chip there’s a nanoscale lithography of the names of the 150ish engineers that helped design the process and the chip. Haven’t ever gotten a chance to break one down and take it to a TEM but it’d be cool to get a pic of his name on the chip somehow some day.

    • @johndododoe1411
      @johndododoe1411 Před 2 lety +5

      @@daniellabunsky653 Confusing numbering as P5 was the first Pentium, P55 (5.5) was the Pentium MMX, and P6 was the Pentium II. Yet somehow I suspect P3 isn't the 386 shared (under contract) with second source chip makers.

    • @Cyba_IT
      @Cyba_IT Před 2 lety +4

      @@daniellabunsky653 That's pretty cool. So I'm guessing your dad is wealthy af?

  • @JackPitmanNica
    @JackPitmanNica Před rokem +9

    I knew that CPU were complex to make but I had no idea they were THIS complicated to make! Talk about an amazing video.

  • @commentator3837
    @commentator3837 Před rokem +16

    Used to work in an intel fab. Was amazed by the fab experience. But I was absolutely blown away when I once visited the sub-fab. The complexity was insane

    • @nickhowatson4745
      @nickhowatson4745 Před rokem +9

      i guess you could say it was fabulous lol

    • @jakeoswald8017
      @jakeoswald8017 Před 2 měsíci +2

      What is a sub-fab?

    • @Ragd-xk5ll
      @Ragd-xk5ll Před 2 měsíci

      It's the fab below the fab, in charge of delivering chemicals and other materials across the main fab. @@jakeoswald8017

  • @pogzie
    @pogzie Před 2 lety +1660

    My mother worked for Intel for 20+ years and she was surprised that Linus was even let in to the facility. She was happy to see this and brought back memories from long ago when Intel manufactured chips here in the Philippines.

  • @AlexSchendel
    @AlexSchendel Před 2 lety +2947

    You'll be pleased to know that this video is now being shared around Intel internally as a great fab tour and explanation of the processes! Two weeks after I first watched this video, I got an email on my work account linking back to this video 😂

    • @DrewGalyen
      @DrewGalyen Před 2 lety +141

      So much better than the old Conan video lol that thing was terrible

    • @cryonim
      @cryonim Před 2 lety +140

      Now that's fuckin awesome! Linus literally became a kind of Bill Nye for people in Intel. I mean he was already super popular but now, even the kids gonna know.

    • @blacksunshinegaming9315
      @blacksunshinegaming9315 Před rokem +58

      Having just had my fab tour today, this video doesnt do the size of the fab justice lol

    • @francobarrientos4862
      @francobarrientos4862 Před rokem

      thats gay

    • @LEONGarrys
      @LEONGarrys Před rokem +10

      Dude how do i get to work in an Intel fab?

  • @experiment8230
    @experiment8230 Před rokem

    I work in the research and development fab in Oregon. Quite interesting to see your take on some of this stuff, and also very surprised that you got allowed in 😂😂 glad you got to live your dream about it though

  • @kennydieu2694
    @kennydieu2694 Před rokem

    Great video and very informative ...good job man .

  • @felipevash4059
    @felipevash4059 Před 2 lety +482

    Man, my heart was pumping hard seeing Linus walking through the facility, waving his arms and almost touching things. Linus would be the the reason for another chip shortage.

    • @StitchExperiment626
      @StitchExperiment626 Před 2 lety +4

      Your comment just made my day. Thank you!

    • @NineSeptims
      @NineSeptims Před 2 lety

      people bump them all the time it is annoying but not world ending

    • @georgecrawley767
      @georgecrawley767 Před 2 lety +11

      I actually got quite anxious watching him show us him almost touching the machines whilst saying to NOT TOUCH THE MACHINES!

    • @MarcG84
      @MarcG84 Před 2 lety +12

      I kept gasping when his arms were getting very close to stuff.

    • @sourabh_mandlik
      @sourabh_mandlik Před 2 lety

      😂😂😂😂

  • @coltonfisher7221
    @coltonfisher7221 Před 2 lety +645

    Linus: "we cannot touch anything in here in fear of bumping anything and causing errors on the nanometer level"
    also Linus: *flails hands around within a foot of said delicate machinery*

    • @Diego2k5009
      @Diego2k5009 Před 2 lety +13

      I flashed back to a compilation videos of Linus Dropping stuff 🤣

    • @INFINITEYEETUS
      @INFINITEYEETUS Před 2 lety +6

      @@Diego2k5009 this is a bit more dangerous now just a slight bit

    • @ZNotFound
      @ZNotFound Před 2 lety +3

      @@BrainScramblies Linus just killed hundreds of what could've been CPUs. /s

    • @barackclinton568
      @barackclinton568 Před 2 lety +1

      He wad not flailing his hands, he was doing ads in rapid sign language...

    • @darkraventd
      @darkraventd Před 2 lety +1

      Linus literally was triggering my anxiety

  • @fridaycaliforniaa236
    @fridaycaliforniaa236 Před rokem +3

    Fab tours are my favorite videos on this channel

  • @caja2555
    @caja2555 Před rokem +2

    I'm working since 1 year in the semiconductor field and I have to admit, you explained everything very well 👍 I needed this video 1 year ago before I started working because I had no idea how a cleanroom would look like but now it's my everyday work life but when I have to explain to my family or my friends what I'm doing at work, they don't understand a single thing what I'm talking about 😂 maybe I have to show them this video 😂

  • @austinveenstra7186
    @austinveenstra7186 Před 2 lety +362

    I really see why it took so long to get this video out, the amount of blurring needed to make sure all of the content was safe for intel to put out was far more than I expected. It was super cool to see how crazy high tech the fab was as well, it looked exactly like what I imagined a futuristic manufacturing facility would look like.

    • @MrDobiedoobie
      @MrDobiedoobie Před 2 lety +4

      Alot of the blurred out items are tool names

    • @arthurtiradentes
      @arthurtiradentes Před 2 lety +17

      they blurred the flathead screwdriver, lol

    • @DaxianPreston
      @DaxianPreston Před 2 lety +2

      The poor editors.

    • @ahmedanssaien6449
      @ahmedanssaien6449 Před 2 lety +10

      I bet they still worry that some Chinese guy working at SMIC might watch this, and probably learn something valuable to advance the SMIC fabs. 😂

    • @only1muppet
      @only1muppet Před 2 lety

      Them (intel) blurring that stuff was a bit silly and over blown. It’s not as if AMD or Samsung doesn’t already know what machines they use or who made them. I mean their is only one company that makes all the equipment anyway.
      Plus they all use the same processes and probably all have spy’s in each other’s companies lol

  • @darthlore9457
    @darthlore9457 Před 2 lety +384

    Would love to see the correlation between the failure rate and Linus’ proximity to those units. Mapped out as a overhead view as a path of destruction through the city.

    • @Raikos100
      @Raikos100 Před 2 lety +21

      Next time they gonna send Linus all chips that were into that particular day and when Linus complains they will just send him an email telling it 🤣

    • @johantm3940
      @johantm3940 Před 2 lety +18

      probably that day the yield rate gone down to I3's and got a Linus inside sticker on the packaging

    • @thrycemin
      @thrycemin Před 2 lety +1

      Best comment.

  • @warrior66ify
    @warrior66ify Před rokem +12

    That moment when you use LTT video to confirm the configuration on a toolset on the other side of the world. Thank you LTT 😊

    • @JJRicks
      @JJRicks Před rokem +2

      Hahahahahah this is amazing!

  • @peiwu979
    @peiwu979 Před 9 měsíci

    I am amazed that Intel allowed you to tour and film inside a fab. Very detailed and I am shocked you understand some of the processing steps! I will have to share this video with my family. They still have no idea where and how I work at Intel.

  • @CraftComputing
    @CraftComputing Před 2 lety +5533

    I got to tour the Hillsboro, OR Fab in December with Dr TechTechPotato himself. It was SO incredibly overwhelming, awesome, stupefying and wonderful. Hope you had the same experience!

    • @oofig
      @oofig Před 2 lety +17

      hi craft computing

    • @jomama7210
      @jomama7210 Před 2 lety +6

      which floor did you check out?

    • @CraftComputing
      @CraftComputing Před 2 lety +31

      @@jomama7210 D1X 🙂

    • @manaspradhan8041
      @manaspradhan8041 Před 2 lety +1

      @@CraftComputing was it big?

    • @bee_man
      @bee_man Před 2 lety +30

      Worked in D1X for a little bit. The technology is amazing but working in these fabs gets super annoying after awhile.

  • @Mobay18
    @Mobay18 Před 2 lety +1084

    Intel: Don't touch the machines, even the slightest tap could destroy a whole production.
    Linus: Walks in between machines and starts flapping his arms around furiously.

    • @PhobosDDeimos
      @PhobosDDeimos Před 2 lety +60

      That made this video incredibly tense 😅

    • @1ragincajun519
      @1ragincajun519 Před 2 lety +3

      that's what I was thinking ...

    • @NickGXZ
      @NickGXZ Před 2 lety +17

      Jesus christ right? My anxiety was going nuts by proxy.

    • @SpaceRanger187
      @SpaceRanger187 Před 2 lety +3

      Intel- these are the cpu's we make that only yourubers and streamers can get but claim anyone can buy them

    • @barnabasgalambos45
      @barnabasgalambos45 Před 2 lety +14

      And he failed at 11:20

  • @ImShelly.
    @ImShelly. Před rokem +1

    I just recently started at TSMC awaiting work in fab 21 (most advanced fab in the world, located in phoenix, az) when it finishes construction, so watching this video just made me even more excited than I already am

    • @robertlee8805
      @robertlee8805 Před rokem

      Lucky you. You know how long it'll take for TSMC to get started in producing?

    • @robertlee8805
      @robertlee8805 Před rokem

      By the way where in AZ are they building?

  • @brandonofviolet
    @brandonofviolet Před 7 měsíci +1

    This would be a great video for cs/ce students taking comp arch! Great video.

  • @tgxmike
    @tgxmike Před 2 lety +346

    I’ve worked in the chip industry for nearly 15 years and studied semi-conductors in college. This is one of the best intro into how a fab operates I’ve ever seen. Really good job taking such a complex process and breaking it down into simple concepts.
    Also the amount of blurring you had to do for confidentiality in hysterical to me.

    • @Jebonglames
      @Jebonglames Před 2 lety +6

      I’m an engineer who works with semiconductors as well. This was phenomenal, I wish I had this when taking my VLSI class at college

  • @der8auer
    @der8auer Před 2 lety +1115

    Insane :O That's definitely lifegoal as CZcamsr. Very nice Video man!

    • @jackphoenix5749
      @jackphoenix5749 Před 2 lety +6

      Heyy derbauer.

    • @Victicat
      @Victicat Před 2 lety +8

      not only as a youtuber

    • @pitecusH
      @pitecusH Před 2 lety +7

      the flippin' legend himself. oh, the wonders of the internet. hiiii

    • @TheEvil909295
      @TheEvil909295 Před 2 lety +13

      Frag doch mal bei Zeiss SMT in Oberkochen nach die Stelle z.B. die EUVL Innereien und die Lithographie Objektive für ASML in den Niederlanden her.

    • @jojomonks4887
      @jojomonks4887 Před 2 lety

      Hey Roman

  • @davidemahiser
    @davidemahiser Před rokem

    Thank you so much for making this video Linus. also, thank you for your in detail explanation at the beginning.

  • @TrueGamingVault
    @TrueGamingVault Před rokem

    This is the best video I've seen in a long time! Linusese exsitment at being in the INTEL factory is like a kid at a willy wonker factory after getting the golden ticket. Brilliant video!

  • @aithney
    @aithney Před 2 lety +1136

    Linus: "They only build their fabs in particularly stable parts of the world"
    Meanwhile at TSMC: Builds 9 fabs on an island with 2200 earthquakes per year

    • @manny7886
      @manny7886 Před 2 lety +69

      Intel headquarter is in Santa Clara, CA. Think of San Andreas's fault.

    • @Syed-wj4pj
      @Syed-wj4pj Před 2 lety +6

      for real? how is that costing them? arent they the biggest fab manufacturer rn?

    • @Robo-xk4jm
      @Robo-xk4jm Před 2 lety +73

      @@manny7886 i dont think their HQ is a chip fab building.. i dont think NBCUniversal is filming or editing anything in manhattan despite being headquartered there

    • @burnin8orable
      @burnin8orable Před 2 lety +35

      Israel is also not seismicly stable. The Jordain river runs along the fault line between the African and Arabian techtonic plates. Although, Intel's primary Israeli office is in Kiryat Gat which is far from any fault lines. This fab however, isn't.
      Edit: my mistake. The fab is in Kiryat Gat. It's more than 50 km from the nearest fault line.

    • @JimmyBoombox
      @JimmyBoombox Před 2 lety +19

      @@Syed-wj4pj they build their fabs with dampers and such so their buildings are stable.

  • @Z4KIUS
    @Z4KIUS Před 2 lety +621

    Intel: we are taking single atoms precisely out of these wafers
    overclockers: here's a sandpaper I'm going to use to lap this die

    • @RReinu
      @RReinu Před rokem +9

      You´re killing me here mate xD

    • @anonymousone6075
      @anonymousone6075 Před rokem +18

      but did you know polishing lenses for satellites is actually done by hand on an old fashioned looking machine powered by the operator

    • @ummmhelp
      @ummmhelp Před rokem +82

      we're basically all monkeys staring at monoliths when it comes to CPUs they're so damn complex its hard to believe they're real

    • @tylerchambers6246
      @tylerchambers6246 Před rokem +15

      @@ummmhelp AIs, that is, other processors, help design modern processors, so in reality, nobody actually understands how they're made.

    • @trumptookthevaccine1679
      @trumptookthevaccine1679 Před rokem +1

      Engineers die inside

  • @01ai01
    @01ai01 Před 4 měsíci

    Thanks to Intel for permitting this tour. Super awesome stuff!

  • @paragjyotideka1246
    @paragjyotideka1246 Před rokem +4

    I am glad that Linus's bucket list of telling us about his sponsor finally came true.

  • @BrightPage174
    @BrightPage174 Před 2 lety +371

    "Intel actually built their own concrete production in the corner of the lot"
    Dream site lol the level of productivity across the whole fab is really something to behold

    • @MrML4L
      @MrML4L Před 2 lety +18

      On larger projects this is the norm. Its much cheaper than running trucks non stop.

    • @SuperSmashDolls
      @SuperSmashDolls Před 2 lety +7

      I wouldn't be surprised, knowing Intel's "copy exactly" mantra, that they actually have a specific formula for concrete and specific production designs they use on every fab.

    • @vinylss4337
      @vinylss4337 Před 2 lety +5

      Factorio irl

    • @MarioDarnadi
      @MarioDarnadi Před 2 lety

      thats normal

    • @MarioDarnadi
      @MarioDarnadi Před 2 lety +5

      @@SuperSmashDolls usually they have special formula for their needs. like when you are building the base for nuclear reactor you need such special mix that whole science team is there to do ongoing tests of consistency every couple of loads

  • @The5Studio_
    @The5Studio_ Před 2 lety +403

    You could say that this is Linus processing how processors are processed.

    • @HaddaClu
      @HaddaClu Před 2 lety +5

      BOooooooooo!! Booo on you sir!!! Lol That was a top notch dad joke; that got a well earned groan.

    • @wuspoppin6564
      @wuspoppin6564 Před 2 lety +11

      And we're processing what his editors processed how he was processing how processors were being processed

    • @CharlesHepburn2
      @CharlesHepburn2 Před 2 lety +2

      @@wuspoppin6564 I'm in the process of commenting on your processor comment... but I cannot process it due to not having the right processor in my process... ... ... ... processor.

    • @wuspoppin6564
      @wuspoppin6564 Před 2 lety

      @@CharlesHepburn2 processing processor something something processor

    • @AdamArtzi
      @AdamArtzi Před 2 lety +3

      Sorry can’t process this comment, maybe try to overclock the process.

  • @TimeAttack90
    @TimeAttack90 Před rokem

    I’m currently an ETII for a manufacturing company I feel blessed to be transferring to another company as a Field engineer II so I can finally see the machines I helped build abs test in the field operational!

  • @debadreeto
    @debadreeto Před rokem

    As a nanoelectronics grad, this video takes me back to my uni days and the clean rooms I used to work in, although this is massive!

  • @devindykstra
    @devindykstra Před 2 lety +473

    I feel really bad for the editors that had to go through every frame of this video to make sure they blurred everything potentially confidential without being too distracting.

    • @Mediaright
      @Mediaright Před 2 lety +11

      Probably Dennis.

    • @the_retag
      @the_retag Před 2 lety +103

      @@Mediaright nah, probably someone at intel

    • @TurkeyOW
      @TurkeyOW Před 2 lety +4

      I don't know what program they are using but can assure you it wasn't manual. Typically you use a automated program then go back and touch up the mistakes since it's never gonna be perfect.

    • @AZmotion
      @AZmotion Před 2 lety +35

      @@TurkeyOW It's definitely manual. Most likely tracked in After Effects.

    • @salahmed2756
      @salahmed2756 Před 2 lety +2

      also the sponsorships lol

  • @martineg3gaming490
    @martineg3gaming490 Před 2 lety +927

    Linus: "You don't even want to bump these machines!"
    Intel: "Who approved butterhands for a tour?"

    • @sans_on
      @sans_on Před 2 lety +5

      Lol

    • @theshawnmccown
      @theshawnmccown Před 2 lety +8

      They probably made that up for his tour, knowing his reputation. 😀

    • @DrakyHRT
      @DrakyHRT Před 2 lety +9

      @@theshawnmccown No, to be honest, if a machine has a precision of nanometers, any bump, even hard walking, can affect the whole process the machine is working on, that's how precise these are, and why only experts are allowed inside there.

    • @xMaFiaKinGz
      @xMaFiaKinGz Před 2 lety

      The machine made by ASML are really really god damn nobel level machines.

    • @JoelJamesJeans
      @JoelJamesJeans Před rokem

      the guy who dropped a 15 tb harddrive

  • @fryturaassado5703
    @fryturaassado5703 Před měsícem

    this makes me appreciate gregtech so much more, the ammount of details and engineering behind each machine is just astonishing.

  • @corners3755
    @corners3755 Před rokem

    I got to check out a plant once when I was a teenager. I was applying for a job at Fairchild National semiconductor in South Portland, Maine. Back then they gave you tours of the plant as part of the hiring process . Not sure if this is something they still do but this was back in 1999 or early 2000's

  • @VGAnxiety
    @VGAnxiety Před 9 měsíci

    Mate, i`m truly proud for your achievements. Thanks and Congrats to the whole team. 💪

  • @scon3s619
    @scon3s619 Před 2 lety +457

    “We shouldn’t touch anything in case of breaking the tiny building blocks” me waiting for Linus to drop something 😂

    • @GoFidoGo
      @GoFidoGo Před 2 lety +10

      11:20

    • @13gta
      @13gta Před 2 lety +7

      @@R.MaxumOff there's a ghost up inside of you?!?

    • @mrdrummer2564
      @mrdrummer2564 Před 2 lety +10

      @@thunderxr2736 Just stop. Take your political obsessions to another channel :)

    • @Tybearic
      @Tybearic Před 2 lety +7

      @@thunderxr2736 bruh chill it’s a cpu factory tour video 😭

    • @georgecrawley767
      @georgecrawley767 Před 2 lety +1

      @@Tybearic 😂😂

  • @obanda3593
    @obanda3593 Před 2 lety +298

    I'm beginning to appreciate why switching to a different nanometer process would be prohibitively expensive even for a tech giant

    • @Cyba_IT
      @Cyba_IT Před 2 lety +15

      For sure man, and you can bet that they've already planned for generations ahead but yeah, big changes = big $$$

    • @filipenicoli_
      @filipenicoli_ Před 2 lety +9

      Also, switching doesn't only involve buying new machines, but also developing new processes to ensure you can correctly build whatever you need to. And they probably need to re-design some parts of the CPU and validate everything along the way. On top of that, these tests probably take weeks if not months due to the amount of processing needed for each die.

    • @dtiydr
      @dtiydr Před 2 lety

      Think of the things they had in the already built place, and now they double that with new more expensive stuff, Intel has money the cost for that is 100 millions easy.

    • @Soarano
      @Soarano Před 2 lety

      @@dtiydr the moment when that generation becomes obsolete and so does the machines 💀

    • @photoniccannon2117
      @photoniccannon2117 Před 2 lety +3

      Intel's 14nm fab was also an impressively good fab for what it was, and was arguably better than the 10nm fab that replaced it. 10nm has improved substantially since, but 14nm could still outperform it in terms of being able to reach very high clock speeds with low power consumption.
      7nm ("Intel 4") is supposedly going to be a significantly better fab this time around. I think it will be a breakthrough for Intel and will help them better compete with the low-power consumption processors from their competitors.
      That being said, I do believe 14nm will likely remain in production for a while. As far as their "legacy fabs" are concerned, 14nm may go down in history as one of their best, and it's still very suitable for a lot of their lower-end or budget processors.

  • @claudiusdunclius2045
    @claudiusdunclius2045 Před rokem +7

    This is fabulous, and it's a testament to Linus's stature as a CZcams Influencer that Intel agreed to give him this tour. His genuine excitement and enthusiasm, as always, shine through. I was grateful to be taken along for the ride!

  • @Apple_Slices.
    @Apple_Slices. Před 2 lety +537

    Telling someone about the sponsor is also on my bucket list.

  • @illla
    @illla Před 2 lety +912

    Linus: "You don't even want to bump these machines!"
    Also Linus: aggressively articulates staying near the machine

    • @PlasmaFuzer
      @PlasmaFuzer Před 2 lety +92

      His gesticulation were causing me actual anxiety.

    • @EcchiBANZAII-desu
      @EcchiBANZAII-desu Před 2 lety +26

      When the next shift comes in they wonder why the whole building is laying on it's side.
      Linus dropped it.

    • @Henry14arsenal2007
      @Henry14arsenal2007 Před 2 lety +13

      This, even more annoying watching it at 1.5. Honestly its the first time he came off as completely idiotic and inappropriate.

    • @ernimuja6991
      @ernimuja6991 Před 2 lety +2

      Gave me anxiety

    • @chrisakaschulbus4903
      @chrisakaschulbus4903 Před 2 lety +3

      @@Henry14arsenal2007 "Honestly its the first time he came off as completely idiotic and inappropriate."
      I know of a tried and tested method that could help. It's called "chill, dude". I didn't know your dialysis machine needs a new intel processor this urgent. ;)

  • @EdipAhmetTASKIN
    @EdipAhmetTASKIN Před rokem +4

    I worked at Intel Fab 34 in Dublin as a surveyor. I marked the location of the machines in +-1mm accuracy.

  • @eldibs
    @eldibs Před 2 lety +155

    "Don't touch anything." These are people who understand the reputation Linus has for dropping things and knocking them over.

    • @carholic-sz3qv
      @carholic-sz3qv Před 2 lety

      😅😅😅😂😂🤣

    • @davidturpin9082
      @davidturpin9082 Před 2 lety +3

      At 11:20 he slaps a hand on the dry etch machine loool

    • @Marin3r101
      @Marin3r101 Před 2 lety

      Her de dur. What a crap, unoriginal comment....

  • @ChristianStout
    @ChristianStout Před 2 lety +394

    Now you have to go to ASML's factory in the Netherlands to see how those $40 million machines are made.
    You _have_ to.

    • @marcfenix148
      @marcfenix148 Před 2 lety +27

      The newest ASML EUV’s are actually >$150 million, wild stuff

    • @gabrielmelnik6796
      @gabrielmelnik6796 Před 2 lety +4

      I think he would love to, but ASML has the last word about that

    • @ArielGonzalez1
      @ArielGonzalez1 Před 2 lety +2

      that would be insane dude, i want it to happen ahahahahah

    • @Foresight42
      @Foresight42 Před 2 lety +10

      Those machines costs a bit more than $40 million now. Showing a Nikon schematic was a bit of a laugh, all the high end stuff is on ASML EUV machines now.

    • @2142clonewars
      @2142clonewars Před 2 lety +2

      Or go to Lam Research facility in Tualatin OR.

  • @Asymmetrical-Saggin
    @Asymmetrical-Saggin Před rokem

    Worked at a semiconductor plant for a few years and was blown away how much goes into making the wafers. I worked on the Boron and the acid stations ( Hydrofluoric acid )Cool stuff. I was only allowed to travel on 2 of the 3 floors and could not go anywhere near the separate small fab we had. only about 10 people had access to that area. Most of the machines were automated after entering certain codes and info. Never got used to the sterile suits we had to wear though. Got hot lol. The yellow lights gave headaches after a while too. Did 4-12 hour shifts each week.

  • @ExiaGames93
    @ExiaGames93 Před rokem

    I'm saving this video to my playlist, why? Its informatively funny watching linus restraining himself touching everything 😂

  • @goldenoreo9171
    @goldenoreo9171 Před 2 lety +291

    I work at Texas Instruments, who's main business is actually analog microchip manufacturing, not calculators, and can tell you this video is pretty surprising to see. Everything is super accurate, and the fact that they let him in is incredibly surprising. Loved this video!

    • @michaelmichaelagnew8503
      @michaelmichaelagnew8503 Před rokem +8

      I worked in a Texas instruments Fab before my Air Force days and even to this day I'm still amazed at the process's it takes to build these chips. I'm surprised myself they let him in.

    • @robertlee8805
      @robertlee8805 Před rokem

      So is TI increasing their manufacturing facilities and where are they? Are they the chip manufacturers for the Auto manufacturers? And which companies?

    • @goldenoreo9171
      @goldenoreo9171 Před rokem +5

      @Robert Lee For want of being sued for all I'm worth, I can only confidently answer that yeah we're making a good few new fully automated fabs that will drastically increase our output capabilities, and yes some of the chips we make are used in things like car electronics. I've no idea what specific info is under NDA and I'm still working for them so thats about all I can give without doing my legal research lol

    • @curtiswhyte3297
      @curtiswhyte3297 Před rokem +1

      @@robertlee8805 TI also makes the imaging devices used in 9 out of 10 projectors worldwide. These devices are known under the trademark DLP (digital light processing).

    • @r89227
      @r89227 Před 8 měsíci +2

      I work at TI on the pump side but get to experience the fab all the time

  • @antedos
    @antedos Před 2 lety +301

    I will never stop being astonished about Linus's curiosity and his ability to translate complex processes and systems to the understanding of everyone while keeping the experience cool as a cucumber.

  • @darrenmessick4971
    @darrenmessick4971 Před rokem

    I worked in dry etch at microns former fab 2 making intels optain memory. It's pretty incredible to see the process.