Why The World Relies On ASML For Machines That Print Chips

Sdílet
Vložit
  • čas přidán 3. 05. 2024
  • In a Dutch factory, there’s a revolutionary chipmaking machine the whole world has come to rely on. It takes months to assemble, and only one company in the world knows how: Advanced Semiconductor Materials Lithography.
    CNBC got an exclusive tour inside ASML’s cleanrooms to see how these $200 million EUV systems print minuscule designs on advanced microchips using exploding molten tin, the flattest surface in the world, and light so narrow it’s absorbed by air.
    Once ASML is done building and testing an EUV lithography machine, it’s so big that it needs to be disassembled and loaded on 20 trucks or three 747s for shipment. Only five customers can afford EUV machines, the biggest being TSMC, Samsung and Intel - and ASML export controls keep ASML from sending any to China.
    Here’s a rare, inside look at how nearly 800 suppliers come together to create the technology required to print every advanced chip powering the digital world, from data centers to iPhones.
    Chapters:
    00:00 -- Intro
    1:58 -- Dutch company with U.S. roots
    6:18 -- How lithography works
    8:52 -- How EUV revolutionized chipmaking
    11:24 -- Why there’s no competition
    14:45 -- Geopolitical risks and what’s next (edited)
    » Subscribe to CNBC: cnb.cx/SubscribeCNBC
    » Subscribe to CNBC TV: cnb.cx/SubscribeCNBCtelevision
    About CNBC: From 'Wall Street' to 'Main Street' to award winning original documentaries and Reality TV series, CNBC has you covered. Experience special sneak peeks of your favorite shows, exclusive video and more.
    Connect with CNBC News Online
    Get the latest news: www.cnbc.com/
    Follow CNBC on LinkedIn: cnb.cx/LinkedInCNBC
    Follow CNBC News on Facebook: cnb.cx/LikeCNBC
    Follow CNBC News on Twitter: cnb.cx/FollowCNBC
    Follow CNBC News on Instagram: cnb.cx/InstagramCNBC
    Subscribe to CNBC PRO: cnb.cx/2NLi9AN
    #CNBC
    Why The World Relies On ASML For Machines That Print Chips

Komentáře • 2,8K

  • @JeroenBouwens
    @JeroenBouwens Před 2 lety +2091

    The incredible level of accuracy these machines achieve cannot be overstated. Saying it's "like hitting a coin on earth from the moon with a laser" is a nice analogy and to get an idea of the engineering challenges involved, consider this: If your laser on the moon moves a microdegree (one-millionth of a degree), you will miss your coin by 10 meters. Not only do ASML's machines hit this coin, they do it over and over again, 24/7, millions of times a day, with people walking around, trucks driving by outside, changing temperatures etc. At that scale and level of accuracy EVERYTHING influences your machine. That is ASML's real technical achievement.

    • @seekter-kafa
      @seekter-kafa Před 2 lety +78

      for me, that mirror analogy was even more impressive (if it0s true) that 1 meter mirror if up-scaled to size of a country, the greatest bump would be only one millimeter high

    • @dimitrygornomelikov3146
      @dimitrygornomelikov3146 Před 2 lety +25

      We need to make more of this machine in China. ASML is not allowed to have a monopoly on this.

    • @joeschmoe3665
      @joeschmoe3665 Před 2 lety +1

      @@dimitrygornomelikov3146 Don't worry the chinese is great at intellectual property theft, I'd rather keep it in western countries not communist dictatorships

    • @Obsidian-Nebula
      @Obsidian-Nebula Před 2 lety +204

      @@dimitrygornomelikov3146 Definitelt not in china my dude

    • @nerobernardino88
      @nerobernardino88 Před 2 lety +122

      @@dimitrygornomelikov3146 Definitely not in China.

  • @AmrishKelkar
    @AmrishKelkar Před 2 lety +959

    Worked in the semiconductor industry in silicon valley for 13 years before leaving in 2020. I remain convinced that chip manufacturing is by the far the greatest scientific achievement in terms of what humans are capable of building. It is just reality defying that we are able manufacture features that measures several tens of nanometers across (not one, not two -- but billions of them on a chip the size of your thumbnail, and millions of such chips) , and then have the whole thing do what we actually set out to do, consistently, that too! The semi industry is chock full of extremely intelligent people (absolute nerds) who are hard to find and don't come by that easily. Every day was extremely challenging and stressful , but very very rewarding because I got to address technical problems that only a few get the chance to solve.

    • @jeffbruce3588
      @jeffbruce3588 Před 2 lety +10

      I dunno....heart transplants are more interesting.

    • @zentechreal7485
      @zentechreal7485 Před 2 lety +111

      @@jeffbruce3588 even heart transplants, or even more artificial heart transplants require those machines to operate and function.

    • @MVEProducties
      @MVEProducties Před 2 lety +51

      @@zentechreal7485 Indeed, the machines needed to do heart or brain surgery are very complex and have lots of chips inside them. Chips are the fuel of modern society.

    • @dag_of_the_west5416
      @dag_of_the_west5416 Před 2 lety +14

      I also spent 13 years in semiconductor industry, but left after 2006. Did get to travel the world a bit and work on process development for 300 mm wafers in the end.

    • @MICKEYISLOWD
      @MICKEYISLOWD Před 2 lety +7

      Where does this all end? You can't keep getting smaller and so faster. There is a brick wall and not too far away where we reach a boundary for sure. What happens when reach the limits of what technology can do or offer you in terms of what you can actually build? I'm really interested in Quantum computing to answer big questions that no classical computer can ever achieve, predicting what molecules will do to develop new medicines, future farming with max efficiency, new materials, Climate Change modelling with staggering accuracy. It would change the world so enormously that if politicians truly understood; they would invest trillions just to get the ball rolling faster.

  • @DHAiRYA2801
    @DHAiRYA2801 Před 17 dny +7

    ASML has 100% market share in advanced photolithography machines. Domination

  • @lessdatesmoreonmyplates1457
    @lessdatesmoreonmyplates1457 Před 7 měsíci +66

    This is truly insane, I can't even imagine the level of pride and satisfaction the engineers working at ASML have.

  • @markknoop6283
    @markknoop6283 Před 2 lety +608

    38 years in business and 97% of machines sold is still operating 😤🤯
    There are some industries that don't get those number's.

    • @gobimurugesan2411
      @gobimurugesan2411 Před 2 lety +27

      They cutting edge in everything. Even exceeding military grades.

    • @waleed8530
      @waleed8530 Před 2 lety +99

      @@gobimurugesan2411 isn't military grades = the bare minimum, cheapest.

    • @markknoop6283
      @markknoop6283 Před 2 lety +26

      @@waleed8530 the military gave us www, touchscreen, jet engines, dokters, micrwaves and a lot more.

    • @mwanikimwaniki6801
      @mwanikimwaniki6801 Před 2 lety +9

      @@waleed8530 It is lol

    • @tomaszszupryczynski5453
      @tomaszszupryczynski5453 Před 2 lety +7

      looks like they dont know about planned obsoletence that everybody else do, but hey they are so pro ecology

  • @d.kersten8793
    @d.kersten8793 Před 2 lety +802

    @ASML is a good company to work for. When I started, the first Twinscan was being created with 300mm wafers and a dual stage which allows simultanious measurement of one wafer and doing the actual lithography on the other one. Customers were so eager to have a machine that could handle 300mm wafers, that the first machines were single stage Twinscans. These 22 years have been incredible and more (Moore) is to come!

    • @Zt3v3
      @Zt3v3 Před 2 lety +27

      I see what you did there ;-)

    • @skepticalmechanic
      @skepticalmechanic Před 2 lety +12

      I like what you did with Moore! 😂

    • @moonboy5851
      @moonboy5851 Před 2 lety +5

      I like the pun.

    • @Crashed131963
      @Crashed131963 Před 2 lety +5

      Need "Neon" to make chips and the Ukraine has 95% of the planet's supply.
      These machines will be idle.

    • @mayanksoni9046
      @mayanksoni9046 Před 2 lety +2

      I think moore is not to come because moores law is collapsing as we r reaching size of atoms.

  • @p382742937423y4
    @p382742937423y4 Před rokem +47

    Can you believe the Dutch? Such a small nation. In the top twenty of almost anything in the world, from sport to education, agriculture, trade, technology... Its incredible.

    • @archilife2555
      @archilife2555 Před 2 měsíci +4

      They are incredible.

    • @Milo-nf1pm
      @Milo-nf1pm Před měsícem +1

      And a narco state

    • @carloschu7127
      @carloschu7127 Před měsícem +2

      Dutch ( ASML ) plus Taiwan ( TSMC ) .

    • @TheErichos
      @TheErichos Před měsícem

      @@Milo-nf1pmYes, but they also have to be able to stay creative

    • @FrankHeuvelman
      @FrankHeuvelman Před 10 dny

      @@Milo-nf1pm The real narco state is the US. The difference is that in the US the pharmaceutical industry who distributes the dope. This is the one and only goal of the so-called 'War On Drugs.' Keeping the monster profits within the family.

  • @einstijn138
    @einstijn138 Před rokem +15

    Started R&D in 2000, didn't even know if they would succeed in 2015, and delivered in 2019
    Now that is some courage, confidence and tenacity

  • @guitarmeggedonit5232
    @guitarmeggedonit5232 Před 2 lety +724

    I work doing engineering drawings for installing these machines in chip factories. They are incredible. Hats off to the scientists and engineers building them!

    • @pilarmartin5051
      @pilarmartin5051 Před 2 lety +1

      They are going to putted these chips in human brain to controlled them very satanic.

    • @nickbell3546
      @nickbell3546 Před 2 lety +11

      @@pilarmartin5051 yeehaw!

    • @transformerstuff7029
      @transformerstuff7029 Před 2 lety +26

      the netherlands......only 17 million people.....the Netherlands is the 2nd largest food exporter in the world and only one who can deliver this chip tech?
      The world is in trouble......

    • @tonywilson4713
      @tonywilson4713 Před 2 lety +22

      @@transformerstuff7029 The world isn't in trouble. Anyone who doesn't have this technology is in trouble, because no matter what agreements they have they are at the mercy of the countries that have this technology.
      When your society requires a specific technology just to function you are at the mercy of who supplies that technology.
      Its why the Chinese captured and trapped the Rare Earths industry. Its why other countries have done the same in other spaces.

    • @Starship737
      @Starship737 Před 2 lety +12

      already too much technology.. we shud reduce electronics and tech as much possible embrace our family and friends. Excess of tech is enemy

  • @jascrandom9855
    @jascrandom9855 Před 2 lety +222

    ASML is one of the best examples of a "Hidden Champion".

    • @jdr4674
      @jdr4674 Před 2 lety

      It is so hidden that 350k people have watched a 19 minute documentary by CNBC about it..

    • @jascrandom9855
      @jascrandom9855 Před 2 lety +23

      @@jdr4674 How many people knew about them before the documentary? or before the pandemic?
      Hidden Champions are small-ish companies that in the Top 5 in a niche global market, and are not very well known outside of that market.
      Or course, ASML isn't small anymore.

    • @TheYah00netstar
      @TheYah00netstar Před 2 lety +2

      *Or...hideous...Nikon(the leader in the sector) have accused them in the past for 7 counts of patent infringements...and asked the U.S Gov to intervene halting the sale of some of their machines in America...perhaps for a strong reason discretion about business was a must...*

    • @marczhu7473
      @marczhu7473 Před rokem

      @@jascrandom9855 simple at the trade war huawei and this one was in the spotlight.

    • @krashd
      @krashd Před rokem +6

      @@TheYah00netstar Japan and the Netherlands have a long history of electronic rivalry, when it's not Nikon accusing ASML of something it is Sony accusing Phillips of something else.

  • @naguoning
    @naguoning Před 2 lety +27

    ASML in the Netherlands and TSMC in Taiwan... bizarre that 2 not so huge countries, 2 companies basically dominate the supply of chips that power everything that is modern.

    • @profiler9293
      @profiler9293 Před rokem +16

      Both co-founded by Philips of the netherlands

  • @mattyktatermattyktater796
    @mattyktatermattyktater796 Před 2 lety +148

    Incredible. I'm an engineer for medical lasers and thought that I had the be incredibly precise with my optical alignments. This is magnitudes more precise.

    • @dag_of_the_west5416
      @dag_of_the_west5416 Před 2 lety +10

      The purity of semiconductor chemicals and gases was always at the leading edge of what was possible, medical grade was the next step down after semiconductor grade.

    • @bromine_35
      @bromine_35 Před 2 lety +3

      I know that a other (now former) medical laser engineer now works at ASML
      What medical laser company do you work for?

    • @bromine_35
      @bromine_35 Před 2 lety

      @footballcoreano Yes i've herd the company zeiss go around when talking to that guy

    • @dag_of_the_west5416
      @dag_of_the_west5416 Před 2 lety +3

      Calling it a lens is misleading, it is a "virtual lens" formed from mirrors. Also 95% of the EUV light is wasted.

    • @kevinkanter2537
      @kevinkanter2537 Před rokem +1

      @@dag_of_the_west5416 good context - thanks for both of your posts on gases and amount of EUV light used in process.

  • @movax20h
    @movax20h Před 2 lety +291

    These machines are beyond crazy. It is like Manhattan Project, Space Shuttle and Large Hadron Collider combined in terms of complexity. Making things precise once is one thing, making it work non-stop, be thermally stable, and repeatably accurate is few levels harder. Optical system, mechanics, thermals, cycling, positioning are quite crazy.

    • @edvardmunch6344
      @edvardmunch6344 Před 2 lety +24

      Yeah it is incredible, I am baffled by the complexity and the scale of production. It is truly a very beautiful and incredibly valuable industry. Dutch people can be very proud of ASML.

    • @alextallen8019
      @alextallen8019 Před 2 lety +3

      Here I thought my haas holding a thou was impressive!

    • @waltermessines5181
      @waltermessines5181 Před rokem +1

      @@edvardmunch6344 Hardly anyone knows around there.

    • @rientsdijkstra4266
      @rientsdijkstra4266 Před rokem +1

      @@waltermessines5181 That is not true.

    • @jan22150
      @jan22150 Před rokem +5

      don't worry the Chinese will find a way to copy these machines and make it cheaper!

  • @spinningjenny1629
    @spinningjenny1629 Před 2 lety +164

    With everything going on in the world, i think this is the peak of human performance and intelligence. Machines which are so complex that only the best mathematicians, physicists and engineers know how to develope and build the damn thing. Only for us to use the chip to watch a movie on our smartphones

    • @davidb6576
      @davidb6576 Před 2 lety +11

      It is amusing, isn't it? Literally - apex technology used FOR amusement...

    • @neonraytracer8846
      @neonraytracer8846 Před 2 lety +25

      @@davidb6576 Well yea, but it's also in satellites, the infrastructure grid (power, internet, logistics).
      Phones are just a way to make money and further technology, it's not really for amusement. It says more about what people wanna spend their time on more than aything else.

    • @theonlywalkingpotato
      @theonlywalkingpotato Před 2 lety +4

      and then you have the other peak of human intelligence where some clown is telling a company on the other side of the globe not sell to certain countries... but i guess thats nothing new in todays politics

    • @davidb6576
      @davidb6576 Před 2 lety

      @@theonlywalkingpotato And speaking of intelligence (or lack of it): You get folks who are agents for a country that's committing atrocities against a neighboring country. Why, folks like that even argue against sanctions on the murderous invader. Who could be so immoral as to support a dictator like putin?

    • @neonraytracer8846
      @neonraytracer8846 Před 2 lety +3

      @@theonlywalkingpotato lol, people don't like aggression. They punish aggression. If you think that's weird or a sign og bad intelligence, please go be voliolent against yourself.

  • @davidbosak7503
    @davidbosak7503 Před 2 lety +37

    I just want to recognize all the people on this comment board (and everywhere) who have worked in this industry and contributed to this amazing technology. You have literally changed the course of human history, and made everyone's lives so much better. Thank you for your intelligence, perseverance and hard work!

  • @marcvandervelsen
    @marcvandervelsen Před 2 lety +150

    AMSL is a Dutch champion. Philips is a Dutch champion of missed opportunities, they could have had everything and could have been the Apple of Europe.

    • @DouwedeJong
      @DouwedeJong Před 2 lety +13

      Their mistake, not lobbying American politicians enough.

    • @marcvandervelsen
      @marcvandervelsen Před 2 lety

      @@DouwedeJong How exactly do you mean?

    • @Felix-zb6mc
      @Felix-zb6mc Před 2 lety +10

      Eh, in the medical world they are no?

    • @marcvandervelsen
      @marcvandervelsen Před 2 lety +23

      @@Felix-zb6mcThey are, but their revenue is declining every single year since it peaked in 2000. I just said it because every single asset they sold, is now more worth than Philips itself.
      I mean, just look at ASML which used to be part of the Philips group.
      ASML market cap 2022: 251 Billion USD
      Philips market cap 2022: 26.77 Billion USD
      By market capitalisation ASML is now almost 10 times bigger than Philips.
      Philips could have lead the way in consumer electronics, chip manufacturing et cetera. This is why I said that combined they could have been the Apple Inc. of the EU / Netherlands.
      But they are not and Apple is haha.

    • @mimimotor
      @mimimotor Před 2 lety +11

      Phillips' spin-offs are very successful though.

  • @chriskim7123
    @chriskim7123 Před 2 lety +295

    I like how confident the CEO is about the product ASML makes. That divorce line was just perfect 🥰

    • @masternobody1896
      @masternobody1896 Před 2 lety +6

      i will take asml stock so i can make more nvidia gpu xD

    • @panchociarer
      @panchociarer Před 2 lety +26

      i actually didnt like that bit at all. it means it would be incredibly hard for any competitor to show up. even if they knew how to make a EUV machine they wouldnt be able to have access to most if not all the suppliers they need. i get that EUV machines are a massive geopolitical item and its in the best interest of the current order to preserve the status quo, but still, i would like more than one company to be able to make them

    • @maltlickytexas
      @maltlickytexas Před 2 lety +25

      @@panchociarer Not if you hold ASML shares.

    • @aravindc102
      @aravindc102 Před 2 lety +13

      Nokia CEO was this confident once..,

    • @briangronski
      @briangronski Před 2 lety +7

      @@panchociarer Gigaphoton tried to make an EUV source and bailed out (they were having supposed success as well) - but the Suppliers they are talking about are Zeiss and TRUMPF. Without either, they would never be making EUV, just very high precision paper weights.

  • @srikanthramanan
    @srikanthramanan Před 2 lety +596

    Thanks CNBC for the exclusive footage and content. This technology is so mind-blowing and feels like sorcery every time I learn about it.

    • @lifeisneverthesame910
      @lifeisneverthesame910 Před 2 lety +7

      hopefully India can copy this machine with much cheaper price..

    • @kamolhengkiatisak1527
      @kamolhengkiatisak1527 Před 2 lety +48

      @@lifeisneverthesame910 Not India nor China could do as it involves so many suppliers/exclusive technologies. Listen carefully and you will know.

    • @transformerstuff7029
      @transformerstuff7029 Před 2 lety +18

      the netherlands......only 17 million people.....the Netherlands is the 2nd largest food exporter in the world and only one who can deliver this chip tech?
      The world is in trouble......

    • @srikanthramanan
      @srikanthramanan Před 2 lety +46

      @@transformerstuff7029 Its not that simple to associate one country. ASML is a multi national company headquartered in the Netherlands. ASML has a huge presence in the US and East Asia and has lots of critical suppliers globally. For instance Zeiss the only maker of lenses are headquartered in Germany. But ASML as a company does enjoy a monopoly with EUV.

    • @lifeisneverthesame910
      @lifeisneverthesame910 Před 2 lety +3

      @@srikanthramanan India can easily make this thing a lot cheaper.

  • @einstien2409
    @einstien2409 Před 2 lety +118

    This truely shows the sheer inginuity of engineers. Mad respect for being the very driving force of society.

    • @uvwuvw-ol3fg
      @uvwuvw-ol3fg Před rokem +1

      So far aren't they just increasing the population size and net inequality? Seems like inherent optimism bias and terror management theory will always help regardless of ideologies such as antinatalism based on consent and efilism.

    • @mfst100
      @mfst100 Před rokem +7

      And yet young people look up to sport stars... or even (I am guilty of it too) to drunk and drugged music stars.

    • @2hotflavored666
      @2hotflavored666 Před rokem +8

      @@uvwuvw-ol3fg Here, you dropped your tin foil hat alongside your sleeping meds. Sleep well!

    • @khalidalali186
      @khalidalali186 Před rokem

      Hahaha 🤣 good one dude, well played lol.

    • @mikiallen7733
      @mikiallen7733 Před rokem

      I wish it could have been carbon-free though !

  • @moosefactory133
    @moosefactory133 Před rokem +48

    It is so weird that a company I never heard of is so incredibly important to the advancement of technology on the world stage. It makes me wonder what advancements will take place by the end of this century, My great niece who is just an infant right now most likely live long enough to witness so many incredible changes in her life.

  • @markmoreno7295
    @markmoreno7295 Před 2 lety +223

    When I was in high school I worked in a clean room and helped produce microchips for Western Electric and other buyers. It was amazing to see silicon crystals growing. We also shot chromium onto very flat glass plates. These plates were coated with various layers but a photo emulsion finished. The target was shot with the circuit image and after acid washes a micro circuit was created for sandwiching inside an IC chip. I made my first photovoltaic cell using a damaged wafer of silicone. After my introduction to clean rooms I later worked in dirtier places- surgical suites.

    • @KangJangkrik
      @KangJangkrik Před 2 lety +11

      Wow you're so lucky to graduate from that high school

    • @andylines8040
      @andylines8040 Před 2 lety +1

      What high school was that?

    • @OriginalPuro
      @OriginalPuro Před 2 lety +1

      @@andylines8040 Which* .p

    • @anonymousAJ
      @anonymousAJ Před 2 lety +3

      Is it desirable that surgical suites be made cleaner? And if so, are there cost effective mechanisms that could be borrowed from industrial clean rooms?

    • @Alex19781986
      @Alex19781986 Před 2 lety +4

      In Asia we have bachelors and masters do the same things you did as a high schooler,
      so unnecessary and inefficient...

  • @AlanMyronPrivate
    @AlanMyronPrivate Před 2 lety +163

    I worked for ASML.
    Great company.
    Great vision.
    Technology integration above all.
    Why did I leave? I found an even better company.
    But ASML remains one of my favorite companies.

    • @simply6162
      @simply6162 Před 2 lety +14

      So Asml has now a competitor who’s even better at chip machines ?

    • @lukasschroeter4773
      @lukasschroeter4773 Před 2 lety +3

      Where do you work ask, when I may ask ?

    • @gridrek3507
      @gridrek3507 Před 2 lety +4

      What’s the even better company?

    • @markreed9853
      @markreed9853 Před 2 lety +2

      What qualifications do you need to work for this sort of company?

    • @MC-NULTY
      @MC-NULTY Před 2 lety +17

      @@markreed9853 there are lots of areas you can apply for, most often you need a engeneering degree to design parts that go in the maching. if you have no degree you could apply for a production job at one of the companies in the region that make parts for asml.

  • @JongJande
    @JongJande Před rokem +71

    One of the reasons for their success next to their technical capabilities is the fact tha AMSL works together with other companies worldwide. I think AMSL can be regarded as an example to the whole world how we should work together to our common benefit instead of making war and loose everything.

    • @psycronizer
      @psycronizer Před rokem +1

      amen to that !

    • @d.o.g573
      @d.o.g573 Před 9 měsíci

      If people abide laws - yes
      If no - then no
      I hope you get 😉 it

  • @NKhalaileh
    @NKhalaileh Před rokem +17

    I think more credit should be given to Carl Zeiss for creating the EUV light in the first place. They provide the Heart of this fascinating machine.

    • @therealdutchidiot
      @therealdutchidiot Před rokem +1

      I hate to go "well actually" on you, but well actually, EUV light was first developed in a US university using different methods, the double shot method is used in EUV machines by ASML.

    • @Arsenic71
      @Arsenic71 Před 11 měsíci +5

      @@therealdutchidiot Well actually EUV has always existed in the universe, so nobody developed or invented it. The technology may have been developed in the US but Zeiss is ,I believe, the only company in the world that can deliver the optics, i.e. lenses and mirrors, at those levels of precision. I assume double shot refers to the vaporization of tin droplets - that technology is absolutely jaw-dropping (just like pretty much everything in semiconductor manufacturing). To even think of it, much less think it could be done realistically AND reliably... incredible.

    • @therealdutchidiot
      @therealdutchidiot Před 11 měsíci +1

      @@Arsenic71 The technology was actually developed by the US army. Unwilliing to develop it further due to cost ASML took over the project.
      The only thing Zeiss has to do with it when it comes to these machines is the lense and mirror assembly.

    • @Arsenic71
      @Arsenic71 Před 11 měsíci +5

      @@therealdutchidiot Exactly. The "only" thing...

    • @therealdutchidiot
      @therealdutchidiot Před 11 měsíci

      @@Arsenic71 The part that isn't relevant for EUV in the slightest. It comes *after* the EUV stage.

  • @roygrimm
    @roygrimm Před 2 lety +74

    Great Job CNBC.. I was with ASML from 1988 to 2013 and your piece here is very good. Also great job Mike!

    • @mypostyart8989
      @mypostyart8989 Před 3 měsíci

      I've been buying shares of asml for about a year now every paycheck best investment ever made

    • @bruceleehiiiyaaa
      @bruceleehiiiyaaa Před měsícem

      hope you have some equity lol

  • @davidb6576
    @davidb6576 Před 2 lety +128

    Very well presented! I did a small amount of design for a version of lithography equipment at MIT back in the 90's, the rate of progress is staggering to me...

  • @roundhouse4201
    @roundhouse4201 Před 6 měsíci +9

    I work as an electrician in the Intel FAB in Hillsboro, Oregon, there are like 8 of these machines sitting side by side and it is the most incredible thing ever to witness. And we're starting to make room for even more which is just mind blowing.

    • @johnmcentegart007
      @johnmcentegart007 Před 4 měsíci

      The U.S. represents an Existential threat for all of Eurasia and Africa... Western Europe is presently U.S. occupied so are parts of Asia... Such as Japan, South Korea and various island chains. These locations are to serve as staging grounds for further U.S. adventurism and exceptionalism. Many countries in the Middle East are bombed out. This has caused the European Migrant Crisis.

    • @1fadf23f
      @1fadf23f Před 3 měsíci +1

      @@johnmcentegart007 COOKOOOOOOOO

    • @johnmcentegart007
      @johnmcentegart007 Před 3 měsíci

      @@1fadf23f I bet a million Rubles that you are an American dude commenting on European affairs from your bunker in the U.S. You can tell that the Internet was weaponized from the Google search results. The manner in which information is listed is a dead give away. Google has been fined heavily for prioritized listings. That is going to happen again.

    • @johnmcentegart007
      @johnmcentegart007 Před 3 měsíci

      @@1fadf23f I will bet a million Rubles that you are an American dude commenting on European affairs from your bunker in the U.S.

    • @johnmcentegart007
      @johnmcentegart007 Před 3 měsíci

      @@1fadf23f Pritzker was born in Palo Alto, California on January 19, 1965. He is the son of Donald Pritzker and Sue (Sandel) Pritzker. A member of the Pritzker family, a Jewish family prominent in business and philanthropy during the late 20th century, Pritzker is named after both of his father's brothers, Jay Pritzker and Robert Pritzker. Pritzker's grandfather, Abe Pritzker, was a business lawyer. The Pritzkers have consistently been near the top of the Forbes "America's Richest Families" list since …

  • @TheEvertw
    @TheEvertw Před rokem +72

    The real reason for AMSL's success is that they took Moore's Law as fact, and work back from that to determine what needs to be done to make it reality. And then be absolutely ruthless in doing what needs to be done.
    Take Zeiss for example. ASML management discovered that Zeiss management lacked commitment to achieving what was necessary. So they took over the relevant department, and turns out it was possible -- if you are committed.

    • @artpost854
      @artpost854 Před rokem +18

      The reason that Zeiss was able to develop EUV technology at a time when competitors had abandoned the idea as unrealistic is that Zeiss is a company with a very devirced business - consumer optical products, industrial measurement and research technologies, medical technologies and chip lithography technologies. All this has allowed a decades-long funding of EUV research, at the expense of revenues from other fields, without going bankrupt. ASML is just extremely fortunate to have such a company as Zeiss as a partner. 😉

    • @d.bcooper2271
      @d.bcooper2271 Před rokem

      *_China is overtaking it_*

  • @jmlinden7
    @jmlinden7 Před 2 lety +87

    9:55 the typical method to aim light is to use lenses to refract it. There are no lens materials that are transparent enough to EUV light for this method to work which is why they have to rely 100% on mirrors reflecting it.

    • @femto505
      @femto505 Před 2 lety +4

      I seen a journal that plasma can also be used as mirrors, but I don't recall it propertiites at the 13nm wavelegnths.

    • @madsam0320
      @madsam0320 Před 2 lety +7

      It’s not that there are no materials transparent enough, but the ability to bend them enough that restricted their usefulness as lenses.

    • @MatthijsvanDuin
      @MatthijsvanDuin Před 2 lety +4

      @@madsam0320 Everything I've read says otherwise, e.g. from newport's page on EUV photolithography: "there are no optical materials that are transparent at 13.5 nm"

    • @jmlinden7
      @jmlinden7 Před 2 lety +3

      @@MatthijsvanDuin Optical materials means materials that can bend the beams, so while some transparent materials may exist, you can't make lenses out of them

    • @MatthijsvanDuin
      @MatthijsvanDuin Před 2 lety +2

      @@jmlinden7 Well in the context I was quoting from it was discussing why a reflective rather than transmissive mask needs to be used, so bending light is not relevant for that. It adds, "In theory, transmission masks could be used if the substrate was less than 100 nm thick, but this is not a practical solution."
      Another quote from the same article, "The entire optical system is maintained under high vacuum since 13.5 nm light is strongly absorbed by all solids, liquids, and gases."
      Do you have an example of a EUV-transparent material?

  • @webdivers1996
    @webdivers1996 Před rokem +4

    I worked for 27 years in the public restrooms cleaning industry. A dirty job but I can fully confirm that ASML tech knowhow implemented the approach to the cleaning process

  • @mediasurfer
    @mediasurfer Před 2 lety +106

    This is an extremely well made and well researched piece of journalism!

  • @waltermessines5181
    @waltermessines5181 Před rokem +5

    Philips company is said to make 80% of their profit on Industrial Patents. It seems to take huge amounts of production to come up with a really clever idea, then get it patented and make money off of others producing what you invented. Philips has always been a great company to work for; they build schools, houses, hospitals etc for their employees. Frits Philips used to ride his bicycle through Eindhoven and chat with anyone about his pet project PSV.

  • @guye94
    @guye94 Před 2 lety +150

    Excellent insight into this crucial piece of equipment at the frontier of technology 👏🏾

    • @dreanor3699
      @dreanor3699 Před 2 lety

      Sadly, this part of science is never mentioned or praised enough. How many people know inventor of transistors? Or people responsible for technology mentioned in video? All we hear is garbage from "great" scientists about pseudo black holes, pseudo evolution theory etc. Whereas they brought nothing useful to humanity. It is very clear what corrupted, derranged and wicked people are governing west for years

  • @shawnbegay4966
    @shawnbegay4966 Před 2 lety +71

    I used to work for a supplier that built the same machines for one of ASML's divisions, Cymer. I was a highly regarded technical writer documenting how the machines were to be assembled. It was definitely fun and interesting. I would later go on to work for Intel documenting how to maintain their AMHS equipment. I am working for a telemedicine workstation company in Scottsdale, but I am feeling the pull of the semiconductor capital industry.

    • @caleb2242
      @caleb2242 Před 2 lety

      Do you know how to design and build ASIC miners from scratch re AZ location

    • @mendoblendo321
      @mendoblendo321 Před rokem

      Following

    • @MrSenorhappy
      @MrSenorhappy Před rokem

      Can i email you? Im in az and have a couple questions for you. Thanks

    • @shawnbegay4966
      @shawnbegay4966 Před rokem

      @@MrSenorhappy I can be found on LinkedIn. My profile lists my Retronix/Intel and UCT experience.

  • @a.j.haverkamp4023
    @a.j.haverkamp4023 Před 2 lety +18

    This is just one of many great products the Netherlands exports all over the world. A small country, but with some very clever people.

    • @maxz9787
      @maxz9787 Před rokem +1

      Jaja but the component that makes ASML better that Epson and co. Is the EUV lithography system from Zeiss.

    • @peterdevalk7929
      @peterdevalk7929 Před rokem +2

      @@maxz9787 ASML management discovered that Zeiss management lacked commitment to achieving what was necessary. So they took over the relevant department, and turns out it was possible -- if you are committed.

    • @maxz9787
      @maxz9787 Před rokem

      @@peterdevalk7929 what Trash are you talking Zeiss never was able to manufacture a complete machine. They only made the optics in this field how should they come Up with the whole machine. But its the Zeiss optic that separates ASML from Epson and co

    • @peterdevalk7929
      @peterdevalk7929 Před rokem +2

      @@maxz9787 And it's ASML that kept Zeiss on their feet.

    • @maxz9787
      @maxz9787 Před rokem +1

      @@peterdevalk7929 what do you want it is a cooperation. And without Zeiss ASML wouldnt be able to produce 5nm Chips. Zeiss would then sell their system to Epson making them the market leader. Without Zeiss there are no 5nm chips. Without ASML there would still be 5nm chips.

  • @tomr164
    @tomr164 Před 2 lety +21

    "Dutch company with US Roots"; it started off in a shed at Philips - Eindhoven (This is also the Netherlands!)

    • @adoatero5129
      @adoatero5129 Před 2 lety +8

      Yes, I noticed the same. They bought some US companies, which make important components to the chipmaking machines, but that's of course a different thing.

    • @johanness6545
      @johanness6545 Před 2 lety +6

      @@adoatero5129 they also bought companies from others countries, so by no way an American company

    • @objectivethinker3225
      @objectivethinker3225 Před 2 lety +4

      I think this refers to some of the technology being used is American (invented by the US Military i believe). I've heard this several times in videos on a Chanel (Asianometry) that goes in dept on the industry. Apparently the US government has/had some say so in who can get ASML's machines.

    • @johanness6545
      @johanness6545 Před 2 lety

      @@objectivethinker3225 and so does the Netherlands, Germany. They have hundreds of suppliers

    • @mrseriousman100
      @mrseriousman100 Před 2 lety +1

      @@adoatero5129 The technology base was developed in US military lab that is what they meant by saying US roots .

  • @franzo162
    @franzo162 Před 2 lety +65

    don't forget the role of the Dutch government on this. Very forward looking, science-based strategic vision. The brainport tech incubator concept in Eindhoven will hatch another ASML and is the reason why my son chose Tu Eindhoven to study

    • @Fanta....
      @Fanta.... Před 2 lety +15

      As long as The dutch keep churning out Stroopwafels then i'll be content. The chip stuff comes second.

    • @hydrolifetech7911
      @hydrolifetech7911 Před 2 lety +21

      That's what you get when you give your citizens the freedom to study for longer and undertake research without risking bankruptcy by having free education, free health care and financial support for students and those not earning enough. In places like the US, you immediately look for a job as soon as you complete high school and most people are done with education as soon as they complete undergrad as they have mind blowing amounts of student loans

    • @amenbrother8818
      @amenbrother8818 Před 2 lety +2

      @@hydrolifetech7911 You are so right! Also, I listen to Ukrainian moms who have fled their country and the first thing on their mind is to get their kids back in school so they can continue their English and math studies. The Eastern European emphasis on math education is not matched in the US, sadly.

    • @buildmotosykletist1987
      @buildmotosykletist1987 Před 2 lety

      @@amenbrother8818 : Yeah, let's kick the Americans it's a popular pass time.

    • @Francis-of8cw
      @Francis-of8cw Před 2 lety +11

      @@hydrolifetech7911 most people working at ASML are foreigners. They can't get enough talent within The Netherlands

  • @maxwellfox
    @maxwellfox Před 2 lety +63

    Thanks CNBC! That was very informative and well done!

  • @TheSendersa
    @TheSendersa Před 15 dny +3

    One of the reason why asml is a successful company. Because it's leaders focus on technology, science and innovation. They are not McKinsey or Boston consulting group type of people.

    • @JH24821
      @JH24821 Před 11 dny

      Very well said. ASML has over 50 years of experience, and their founders took a risk back then by going in a different direction others were not willing to take; and they're being rewarded for it ever since.

    • @yurichtube1162
      @yurichtube1162 Před 5 dny

      On their web page, they say diversity is the reason for their succes. Damn blackrock.

  • @johnedwards3621
    @johnedwards3621 Před rokem +6

    About 50 years ago, I was asked to evaluate a new IC for possible use in a military minicomputer that Raytheon was developing for Naval sonar systems. That IC, the Intel 4004, could have fit nicely into a new physical architectural standard designed by the Navy (NAFI) to accommodate the pace of technological change. However, it was only a 4-bit slice of an Arithmetic Logic Unit in a 16-bit minicomputer that used about 60 similar cards. The technology was part of a rugged & cool running minicomputer that would soon be obsolete.
    Something the size of a microwave would soon shrink to the size of a postage stamp ==and eventually to a speck while covered with a protective layer of quartz.

  • @johnmijo
    @johnmijo Před 2 lety +42

    Man, the control ASML has over lithography machines reminds me of a line from a Science Fiction Book Series:
    "He who controls the Spice, controls everything." ;)

    • @gobimurugesan2411
      @gobimurugesan2411 Před 2 lety

      U mean Petrol? And middle East? their religion that spread by wars?

    • @Drrolfski
      @Drrolfski Před 2 lety +4

      Except the control here is over knowledge, not some mineral, and this control is only temporary as patents expire and technology evolves rapidly.

    • @peterdevalk7929
      @peterdevalk7929 Před rokem

      That would be the Dutch also!!!!

    • @eddy1628
      @eddy1628 Před rokem +1

      @@Drrolfski even if the patent is expiring, it is almost impossible to re-create a company like ASML that can build these machines. It is one big symbiotic cooperation of many companies and very intelligent and skilled people. And their workforce is coming from like a 130 different countries; all the best and brightest in their specific part of science.

  • @mohamedfaizan9844
    @mohamedfaizan9844 Před 2 lety +52

    As someone who works for Philips - this was the one that got away.

    • @johnl.7754
      @johnl.7754 Před 2 lety +6

      Yeah a shame that Philips sold all their shares long time ago.

    • @leihtory7423
      @leihtory7423 Před 2 lety +6

      But also getting an EUV machine doesn't mean you can make chips. btw. lol
      ASML sent EUV machines to Taiwan and South Korea.
      Taiwan has 90% efficiency. South Korea has 30% efficiency, btw that's a fail and not economical.
      The same machines, but there are some ADDITIONAL "Process" that are unique to each company TSMC vs SAMSUNG.
      Why Intel gave up on EUV. EUV a machine which USA Intel helped developed.
      South Korea Samsung with the brightest minds, larger resource, bigger company. Could not perfect the technology.
      But it was Taiwan TSMC that perfected EUV use.

    • @johnl.7754
      @johnl.7754 Před 2 lety +6

      @@leihtory7423 Philips owned a lot of equity in ASML (because they founded the company) not that we think they should produce chips.

    • @Hans-gb4mv
      @Hans-gb4mv Před 2 lety +2

      This is probably one of those situations where the sum of the parts is bigger than the whole.

    • @mohamedfaizan9844
      @mohamedfaizan9844 Před 2 lety +8

      Not many people may know, but over the years Philips has hived off its consumer lifestyle, semiconductor, lighting and recently domestic appliances businesses to focus on becoming a health tech company.

  • @PinnacleNL
    @PinnacleNL Před rokem +6

    This company is so often overlooked and it's in part because they liked it that way for a long time.
    Everyone thinks windmills and tulips and then you have people who show interest who know a whole lot more about infrastructure and water management etc, but almost no foreigner knows about this key role in modern global society. It's only since some years that the company itself is positioning itself a little bit more in the spotlight. That's not because they didn't deserve it before, but because they liked to act behind the scenes.

  • @svatn255
    @svatn255 Před rokem +3

    Very informative and detailed.
    There should not be any barriers to share the knowledge which eases the human life.
    I think, this informative video should be circulated in local language , especially in schools, which will support in increasing the eagerness of learning new technologies.
    Thanks for the video and ASML staff .
    Good luck.

  • @airplayn
    @airplayn Před 2 lety +25

    After I was a USAF pilot, in the early 80's I worked in a 100mm wafer line down in San Diego as a Photo-lithography and etch process engineer where I used the great great granddaddy of these systems. Never thought I'd become nostalgic about those times and that job LOL

    • @platoscavealum902
      @platoscavealum902 Před 2 lety +2

      🇺🇸 Thank you for your service, sir.

    • @airplayn
      @airplayn Před 2 lety +10

      @@platoscavealum902 You're welcome, but I'd rather you go thank a teacher! That's who I thank for their much more important service

    • @mwanafalsafa3613
      @mwanafalsafa3613 Před 2 lety +1

      What would it take for a third world country to manufacture these systems? I mean the oldest ones having no restrictions?

    • @hughjassstudios9688
      @hughjassstudios9688 Před 2 lety +1

      I would say that 100 nm (130 nm mfg. started in '01) would be alien tech in the early 80s, but 1000nm (1.0 μm) makes much more sense for the given time period

    • @wgmskiing
      @wgmskiing Před 2 lety +2

      @@hughjassstudios9688 He likely meant a 100mm wafer fab. 4 inch wafers.

  • @HersheysHotCocoa
    @HersheysHotCocoa Před 2 lety +39

    Great Vid! I currently work at ASML! Awesome company to work for. Although they’ll squeeze your brain out for ideas.
    “Any Ideas? Any Ideas? Any Ideas?……….. Any Ideas?”
    *Goes to the coffee machine, Poof! We have an idea!!* Next thing you know, it’s getting patented.

    • @arjanvanraaij8440
      @arjanvanraaij8440 Před rokem +2

      Your lucky, there thousends of tech companies that work the opposite way.

  • @robertmarmaduke186
    @robertmarmaduke186 Před rokem +2

    Kudos to CNBC for a well scripted, well narrated, jump-cut one-up speaker presentation.

  • @JiangsuYzmSteelProductsCoLtd

    Such incredible innovation, hats off to the engineers!!.As a company in the field of raw steel materials,this is a thumbs up.

  • @merovingian688
    @merovingian688 Před 2 lety +7

    Very informative. Knowing the company that’s behind the chipmakers. Investing in companies that have a monopoly isn’t a bad strategy

  • @bakedbrotatoes
    @bakedbrotatoes Před 2 lety +13

    Energy and computer chips make the world turn. Continued funding and research are critical to modern living. Kudos to cnbc for bringing some light to the subject!

  • @siddharthg8801
    @siddharthg8801 Před 2 lety +6

    As a Asionemetry subscriber I am happy that CNBC is making people aware of tsmc and asml

  • @YuriK1006
    @YuriK1006 Před rokem +13

    I'm proud to work for ASML 💪

    • @user-ez6lv9uw8h
      @user-ez6lv9uw8h Před 8 měsíci

      Mi fewhartt campani asml 😢mi luck is not good no mani. 😢 i. M. Pakistan😢😢😢

  • @ehubbar
    @ehubbar Před 2 lety +54

    Just got hired at ASML. I need this

    • @BrandonshanesProductions
      @BrandonshanesProductions Před 2 lety +4

      How hard was it and what degree did you need?

    • @happyisblue
      @happyisblue Před 2 lety +6

      Congrats ✌

    • @ehubbar
      @ehubbar Před 2 lety +25

      @@BrandonshanesProductions I applied on their website. They truly are growing so there are a ton of openings. The interview wasn’t bad. An initial one on one interview then a panel interview. Mine is mechanical engineering.

    • @gabor6259
      @gabor6259 Před 2 lety +2

      @@ehubbar Congratulations.

    • @M.s.l.e.
      @M.s.l.e. Před 2 lety +2

      Good luck in the new position, say hello to my wife who works there as well.

  • @wjhann4836
    @wjhann4836 Před 2 lety +71

    ASML is incredible. But I think there are other aspects: The chips produced in this top machines are the heart of any computer - BUT you also need much simpler /rougher chips around the central - and these "old" chips / designs are a big part of the shortage.
    IMHO a significant part of the shortage is that the production of that "old" "low level design" chips - that are still necessary - was neglected. I think we are getting more into the dependency of China since they still do that "low level production" but nearly nobody else.
    I remember older times. Some around 2000 I visited a train workshop in my hometown. We talked about circuits (Anti slip system) I saw some 7407 on the table and told I have some im my box. He immediately wanted me to sell these. In the 70th I bought them vor 14DM each. While these chips were still massive used, nearly nobody produced it any more. For me strange: it seemed nobody was interested to simply run a line with the old designs for some time.

    • @ph11p3540
      @ph11p3540 Před 2 lety +11

      Forgot to mention the shortage of neon used in some chip making processes such as 28 and 14 nanometer processes. It's used as a cleaning and decontamination gas. sadly half of the Neon comes out of Ukraine which has half it's manufacturing infrastructure destroyed. That leaves China at 40% and USA at 10% global market supply. We all understand the geopolitical complications of China as a source and that leaves only the US which is scrambling to trip it's neon production.

    • @nafets6265
      @nafets6265 Před 2 lety +7

      China already develop a lithography process that wont recquire EUV technology from asml. They were sanction las 2018 for purchasing this machine so they revolutionize their own.

    • @wjhann4836
      @wjhann4836 Před 2 lety

      @@ph11p3540 Uh - that might hit more than 'only' the top processes - I assume also processes over 120nm?

    • @kazedcat
      @kazedcat Před 2 lety +9

      Old chips can be built with new machines you just need to spend engineering resources to port the designs. No one is doing this because it is cheaper to just build this old chips in old machines. Part of the reason of the shortage is that chip buyers cancel their orders for old chips so the chip makers upgraded their machines to newer machines. And when the buyers return to buy more old chips the old machines are no longer there. The chip buyers would now need to spend money to port their old chips so it can be built with new machines and they don't want to spend that money.

    • @wjhann4836
      @wjhann4836 Před 2 lety +1

      @@kazedcat And if there are still 'old machines' they only will be in China.

  • @thePronto
    @thePronto Před rokem +1

    Quality reporting about a topic of which I was only vaguely aware after 30 years in hi-tech.

  • @MarcelHuguenin
    @MarcelHuguenin Před 2 lety +7

    Great video. Proud to have such an important company in my country 😎

  • @davidcahan
    @davidcahan Před 2 lety +11

    This is one of the most interesting and informative technology videos I've watched maybe ever! Great job!

  • @juantelle1
    @juantelle1 Před 2 lety +30

    I highly reccomend the youtube channel Asianometry, they describe the semiconductor indsutry in a deeper level

    • @platoscavealum902
      @platoscavealum902 Před 2 lety +2

      👍 I’m a fan of Asianometry as well.
      (I think that this CNBC video particularly stands out for being detailed and highly informative - the type of quality we normally enjoy at Asianometry.)

  • @TheDen334
    @TheDen334 Před rokem +1

    I work as a Key Accountmanager that supplies al of the safety equipment for this Tech giant. Its crazy to see how they made such progression to become a world leader. And still are!

  • @JohnSmith-xs4sx
    @JohnSmith-xs4sx Před rokem

    as a standard modern day cnc machinist .....my heads going to explode thinking about THAT kind of precision....just incredible

  • @nripenshenoy3238
    @nripenshenoy3238 Před 2 lety +20

    Good to know these facts.
    ASML doing great in technology field 🙏👏

  • @michaelhu9947
    @michaelhu9947 Před 2 lety +48

    Proud as a Dutchman to say we have some of the most critical companies necessary in this age of time. NXPI, ASMI, BESI all have Dutch origins.
    Extra: Around 5:00 while they compliment the biggest partners and offer them shares of the company to accelerate the development of EUV, they should also mention the Dutch Government as a key player. In that time, ASML got preferential treatment with a tax break called 'deeltijd ww' specifically for ASML.

    • @ivanbb1070
      @ivanbb1070 Před 2 lety +7

      Just don't let China get their hands on your technology and know it how's like it has in other industries.

    • @michaelhu9947
      @michaelhu9947 Před 2 lety +15

      @@ivanbb1070 Chinese did try to copy DUV way back. It took them 5 years to copy and it did not work. Meanwhile EUV came into the market. It has been proven the Chinese are unable to copy the technology outright after buying the machine. Not only that, critical components are also made by partners only supplying that specific technology to ASML. For example Zeiss has an exclusive contract with ASML for the lenses.
      That said ASML should in general protect its technology so it can keep its competitive advantage.

    • @leihtory7423
      @leihtory7423 Před 2 lety +7

      @@ivanbb1070 and we have a chip shortage. usa banned chip factories in china from getting asml machines.
      taiwan tsmc has factories in china. intel has factories in china. Samsung has factories in china.
      no asml chip machines to china = chip shortage.

    • @leihtory7423
      @leihtory7423 Před 2 lety +2

      @@michaelhu9947 dafuq you talking about.
      China has been banned from getting EUV machine.
      ASML has shipped a ton of DUV machines to China.

    • @michaelhu9947
      @michaelhu9947 Před 2 lety +8

      @@leihtory7423 Really simple. They bought the refurbished DUV machines, tried to copy it, failed, fell behind and now they are stuck buying the machines.

  • @jackxams
    @jackxams Před rokem +4

    From ASML to Heineken. The Dutch make some good stuff.

  • @richvandervecken3954
    @richvandervecken3954 Před 2 měsíci

    ASML is a huge international company that has bought out many of it's competitors over the years. How they have managed to avoid the laws to restrict monopolies would be an interesting legal investigation that every large international company could use to navigate the complexity of international law.

  • @edwardgrigoryan3982
    @edwardgrigoryan3982 Před 2 lety +9

    This was a much needed documentary. Nice job identifying a crucial knowledge gap for many and filling it.

  • @SIW808
    @SIW808 Před 2 lety +9

    I may be turning 34 this year, but it is still one of my dreams to one day work for ASML.

    • @jibranhayat8017
      @jibranhayat8017 Před 2 lety +1

      you certainly can , just go for it. Best of luck

    • @vanDeudekom
      @vanDeudekom Před rokem +1

      sent theme a e-mail, if you good enough they will take you. But,.... they are Dutch, brutaly honnest and hard workers. Can you handle the heat... than just go for it. All the Best.

  • @eug_metta
    @eug_metta Před rokem

    Thanks! It would also be really interesting to watch something regarding the environmental impact of all these new chips..

  • @SabaDhutt
    @SabaDhutt Před rokem +4

    Samsung is building a semiconductor plant close to where I live in Austin, Texas and the whole thing will cost about $17 Billion. What's shocking is that the plant will only cost $1 billion. The other $16 Billion will be these huge machines, some of them cost $500 Million each! BTW, now they're down to 2 NANOMETERS. Insanely small.

  • @hallmobility
    @hallmobility Před 2 lety +15

    TMSC announced its chip plant in Arizona in May of 2020, after already deciding to build a vast US plant. Intel announced its Ohio plant in March of 2021. So the proposed US CHIPS Act is well behind the curve.

    • @Kemet3.0
      @Kemet3.0 Před 2 lety +2

      Not behind, they still need those Lithography machines to be created. As the announcement stated.

    • @dag_of_the_west5416
      @dag_of_the_west5416 Před 2 lety

      @hallmobility. You might want to check again, I believe there are 5 chip plants being built in Arizona right now.

    • @dag_of_the_west5416
      @dag_of_the_west5416 Před 2 lety

      By the way it is TSMC not TMSC.

    • @hallmobility
      @hallmobility Před 2 lety

      @@dag_of_the_west5416 OK, so I said putting the cart before the horse, now it's putting the cart before FIVE horses. Same worthless politicians.

    • @dag_of_the_west5416
      @dag_of_the_west5416 Před 2 lety

      @@hallmobility but you see now all those chip plants that were already under construction can get fast-track environmental permits and govt money to finish the construction.

  • @jonathancollard3710
    @jonathancollard3710 Před 2 lety +8

    Quality, informative videos… please keep up the good work. I forward these to my kids regularly in hope they learn something 🤭

  • @tioswift3676
    @tioswift3676 Před 2 lety +10

    Still blows my mind how a blast of UV light can create billions of transistors on a silicone wafer.

    • @Richard-bq3ni
      @Richard-bq3ni Před rokem +1

      There is more to it.
      Metal layer depositions, etching, ion implanting, heat anneal steps etc.

  • @tdawg719
    @tdawg719 Před rokem +2

    These are so complex and the technology is so insane it may as well be magic.

  • @vergelab3399
    @vergelab3399 Před 2 lety +6

    VERY interesting, i've just been recruited to work for them, looks like a great company

  • @gavincurtis
    @gavincurtis Před 2 lety +13

    You should definitely get the extended service plan for these when you buy them.

  • @kaydesign
    @kaydesign Před 6 měsíci +1

    That they can reach this mind boggling result on nano scale one time is already a wonder, but continuing 24/7 is just a miracle.

  • @mikeunum
    @mikeunum Před rokem +2

    The Austrian company for which i worked by ASML In the yeas 2005..2008 to implement the main control hardware. I had done a lot of Sofwtare work from our company for ASML here in the Netherlands. You remember the first DualCore Chip and the QuadCore Chip? There are done of machines like this one.

  • @sharpfocus5
    @sharpfocus5 Před 2 lety +12

    How utterly inspiring. Just genius.

  • @oxide9717
    @oxide9717 Před 2 lety +36

    This is why I love CNBC'S content on all thing except when it comes to cars particular Tesla this is a really good video ❤️

    • @sazzad7558
      @sazzad7558 Před 2 lety +3

      Because some of us know much more about tesla, we can find the mistakes.

    • @ehsankabirirahani9733
      @ehsankabirirahani9733 Před 2 lety +2

      I work for ASML. Tesla has no technology compared to ASML. Why people dont know ASML, because it is not American company

  • @pareidoliamadness
    @pareidoliamadness Před rokem

    We need more companies doing this.

  • @CzoTortilla
    @CzoTortilla Před 2 měsíci

    3:40 I worked on these steppers at ASML in Veldhoven and IBM in Fishkill NY in the early 90s
    First sub-micronics steppers !
    Good memories.

  • @1440PGamingContent
    @1440PGamingContent Před 2 lety +3

    Loving the quality guys keep up the great work!

  • @antr9337
    @antr9337 Před 2 lety +8

    ASML is considered the greatest company in the Netherlands.

  • @aelaan12
    @aelaan12 Před 7 měsíci +1

    Who has the mind to actually understand all of this? And then actually improve on it. Amazing!

  • @luckieoleary6459
    @luckieoleary6459 Před 2 lety +1

    The Technology is soo important, it’s not even a joke to share it

  • @jimjohnson4122
    @jimjohnson4122 Před 2 lety +21

    As someone who owns stock in this company… I fully support this video 😆

  • @LuqmanAhmedTK
    @LuqmanAhmedTK Před 2 lety +10

    Feels like it is a holy grail of technology

  • @Anonymous-qb4vc
    @Anonymous-qb4vc Před rokem +1

    Its incredible that such technologies exist which made possible the modern world we live in.

  • @rebellion-starwars
    @rebellion-starwars Před rokem +16

    I remember this when was in the development stage, actually at the end of the development and just entering commercial users, I was blown away with the technology. Well they invested years in the development, they was innovative and they totally deserve to have monopoly. It's impressive technology.

    • @therealdutchidiot
      @therealdutchidiot Před rokem

      They were willing to push the envelope when noone else did. That's what did it. ASML was a declining company before they developed this. And with NA tech they'll be pushing ahead once more.

  • @moonboy5851
    @moonboy5851 Před 2 lety +37

    Arguably the finest business on earth.

    • @naguoning
      @naguoning Před rokem +1

      TSMC would be another candidate. They are however utterly intertwined.

  • @triadwarfare
    @triadwarfare Před 2 lety +15

    ASML also requires input from their client for their machines. That's why TSMC's machines are different from Intel, and why TSMC was first but Intel had issues with theirs.

    • @David-Zita
      @David-Zita Před 2 lety +5

      they are the same intel or TSMC what you are missing is the "circuit diagram designed by intel. not the machine.the problem boils down to circuit diagram engineers not the machine

  • @michaelmontgomery5141

    Very simplistic presentation. With regards to use of DUV vs EUV. Technical explanations great

  • @handson4580
    @handson4580 Před 2 lety +1

    I love the extremes of true scientific achievement. Here we have light makeing designs measured by dna strands holding up the chip market and then its the space exploration making giant rockets of extreme precise design to go to space

  • @Sam-rf8yh
    @Sam-rf8yh Před 2 lety +9

    This is absolutely fascinating.

  • @stachowi
    @stachowi Před 2 lety +4

    this is awesome, great work.

  • @AgentSmith911
    @AgentSmith911 Před rokem +26

    The more advanced this industry becomes, the more monopoly ASML will have. The investments made will be in the billions and the knowledge and experience to produce these machines, means that no other corporation will be able to compete.

    • @therealdutchidiot
      @therealdutchidiot Před rokem +1

      It seems like you're acting like it's their fault noone else figured it out, in the very same way many Americans blame pedestrians for cars running them over.

    • @AgentSmith911
      @AgentSmith911 Před rokem

      @@therealdutchidiot it is their fault. They're a greedy corporation that will take advantage of the consumer. Hopefully the company will be forced to split up.

    • @JohnnyLandscape
      @JohnnyLandscape Před rokem +2

      Well deserved monopoly.Why not?
      They do the work and are being paid handsomely for it.

    • @AgentSmith911
      @AgentSmith911 Před rokem +2

      @@JohnnyLandscape There's nothing good with monopolies. They stifle competition and halt innovation. And prices and supply shortages increase.

    • @MusicIsLegal
      @MusicIsLegal Před rokem +3

      @@AgentSmith911 They are not trying to prevent any competition to enter the market its just that it takes decades to get to their level of technology to make something comparable to it, the current machinese made by ASML also took them decades of investments and a HUGE gamble on one machine to get this far and it worked out for them.

  • @aethro4375
    @aethro4375 Před rokem

    About to start my job as a firmware developer for these machines. Hyped!

  • @mattwalter6207
    @mattwalter6207 Před 2 lety +4

    Gonna load up on stock tomorrow...wish i knew about this company sooner

  • @badmongo0
    @badmongo0 Před 2 lety +19

    "we can't get the chips to make the machines to make more chips" that's not good man

  • @sandeepsharma82100
    @sandeepsharma82100 Před rokem +2

    This videos comment thread is some of the best comments all in one place, so much amazing history, details from folks who have been at ASML.and the industry. I have never seen so much positivity pride and joy in all comments and no BS, no snide remarks.. I love it 🙏

  • @bruceli9094
    @bruceli9094 Před rokem +2

    United States needs to OWN ASML. Period.

    • @corneliskim
      @corneliskim Před rokem

      why? Quite good that the heart of the company sits in a country which can be trusted not play for power

    • @japie8466
      @japie8466 Před 2 měsíci

      Fun fact, one of ASML biggest shareholders (4.580%) is a US company.