VGA driver for FPGA in VHDL

Sdílet
Vložit
  • čas přidán 28. 08. 2024

Komentáře • 37

  • @majinuub619
    @majinuub619 Před 4 lety +8

    3:37 bless you

  • @johanizquierdo4776
    @johanizquierdo4776 Před 4 lety +3

    Really good tutorial and well explained! Has helped me a lot to understand both VHDL language and how to program an FPGA. would have been great if you done the same with the pong game. Thanks.

  • @riezaali4809
    @riezaali4809 Před 3 lety

    gr8 work. love the authenticity of your effort

  • @madeshwarannatarajan5205
    @madeshwarannatarajan5205 Před 5 lety +1

    super sir
    keeps this type of tutorial
    very much useful for us
    thank you so much

  • @piclife1178
    @piclife1178 Před 2 lety

    Excellent video, thank you. I tried it as my second FPGA project on ICE40 and am very pleased to have it working.

  • @sjms9430
    @sjms9430 Před 7 lety +1

    Great Tutorial!!!
    Thank you :)
    Dear daxerz,
    I have a request to you for a video on VHDL project:
    We have a calculator program in vhdl and we need to interface the the PS2 (Keyboard), VGA and processor using an Xilinx Spartan 3E starter kit board. Finally we need to run the calculator program and test whether all the interfacing and processor designing are working properly.
    We are really new to this and have very limited knowledge on the same.
    It would be great if you can upload a video showing how to do the programming for processor, Keyboard and VGA interfacing for the Xilinx Spartan 3E starter kit board.

    • @manurules51
      @manurules51  Před 7 lety

      Thank you very much for a great response! As for your request I can't accept requests since this is a very busy time in my life, I am a masters student at this time. I would like to help but during my course I have studied verilog and find it a much better description language after many months of contemplation. I do plan on doing more tutorials in the future but as of now I can only show what I know. As for you request I could do everything in verilog apart from the keyboard from my knowledge alone, I do have experiance with a mouse so it wouldn't take long to learn the keyboard protocol.
      I actually recommend this video for the keyboard: czcams.com/video/EtJBqvk1ZZw/video.html
      Also the book: FPGA PROTOTYPING. BY VHDL EXAMPLES. Xilinx SpartanTM-3 Version. Pong P. Chu. Cleveland State University

    • @sjms9430
      @sjms9430 Před 7 lety

      Thanks for your reply.

  • @kentvandervelden
    @kentvandervelden Před 7 lety

    Nice job, I've always meant to make one, but never have, seems like something everyone should do though who tinkers with FPGAs.

    • @manurules51
      @manurules51  Před 7 lety

      Kent VanderVelden thank you, I agree. It's the power to create your own custom digital circuit on a chip with almost no limitations (apart from the logic blocks available!).

  • @dhanuranggaalfadh8075
    @dhanuranggaalfadh8075 Před 4 lety +1

    Great tutorial. Thank a lot!

  • @radwanalboom916
    @radwanalboom916 Před 4 lety

    Thank you for this great tutorial

  • @shaymenashe2742
    @shaymenashe2742 Před 3 lety

    very good tutorial mate!.

  • @abdelmonim01
    @abdelmonim01 Před 5 lety +1

    Awesome tutorial mate, I have learned quite a lot from this. I was checking if you posted a ping pong tutorial for VHDL but I haven't found any, if it's possible could you link me to one that uses the same principal of coding here? Or if you can upload the video yourself, that would be great! Again, thanks for the video!

  • @ghosthuntergr
    @ghosthuntergr Před 2 lety +1

    Hi can we still download the code somewhere because the link doesn't work anymore

  • @nockieboy
    @nockieboy Před 4 lety +4

    Okay tutorial. Information is great, but you could do with planning the content before filming, or edit down the fluff, umm-ing and err-ing..

  • @SweetNemesis420
    @SweetNemesis420 Před 3 lety

    Can't get this working for a De1-SoC board. Any tips?

  • @carlosestebanospinasaldarr1733

    Thank you

  • @sjms9430
    @sjms9430 Před 7 lety

    How can we add test bench architecture to do port mapping stuffs and do the simulation test in Xilinx itself, before actually testing the output in the monitor.
    Do you have the code for that. If so that will help.

    • @manurules51
      @manurules51  Před 7 lety

      Yes it's actually very simple since everything is counting based on the clock, therefore only a clock signal is needed as an input in the test bench (also reset to be set as 0). Just make sure to change the simulation period to what you want. I've updated the link to include a testbench.

    • @sjms9430
      @sjms9430 Před 7 lety

      Great. Thank you so much

  • @Evgeny_Ermakov
    @Evgeny_Ermakov Před 6 lety

    How to compute VGA timings manually for any resolution? Specifically, fp and bp, hs and vs pulse lengths?

    • @manurules51
      @manurules51  Před 6 lety

      There seem to be many equations to calculate the exact timing, most of which I don't know. The easiest way is to use a calculator. something like this might help you: www.epanorama.net/faq/vga2rgb/calc.html

    • @Evgeny_Ermakov
      @Evgeny_Ermakov Před 6 lety

      daxerz, Thank you.

  • @deepanshutrivedi2536
    @deepanshutrivedi2536 Před rokem

    Great Video but I am having some issues. I double-checked the code and there is no syntax error but as soon as try to display it on the monitor it says no signal from the device. Can you help me out?

  • @abdulrehman4024
    @abdulrehman4024 Před 2 lety

    Please can you tell me or suggest video how to do name display on vga

  • @rarecandy9203
    @rarecandy9203 Před 7 lety

    Nice video, I have a question:
    How would you do diagonal lines? as in if I was to draw a triangle.

    • @manurules51
      @manurules51  Před 7 lety +1

      Rare Candy I've actually never done it on an fpga, only using c or python. However have a look at breesham line algorithm.

    • @rarecandy9203
      @rarecandy9203 Před 7 lety

      Ahh ok, thank you.

    • @manurules51
      @manurules51  Před 7 lety

      Here's a link i found searching yesterday:
      opencores.org/project,graphicsaccelerator

    • @anguerandelamouliniere3070
      @anguerandelamouliniere3070 Před 4 lety

      @@manurules51 It's not breesham, but bresenham... I see that you answer questions like you did the video : without any preparation. Do you imagine what you would feel if you have one of your teacher making an errrrrr... and hmmmmm.... at the beginning and end of each sentence. Your video could be 50% shorter by just preparing it properly and editing it...

  • @aladdienbelayachi6090
    @aladdienbelayachi6090 Před 2 lety

    thanks

  • @mateuszkowalski2307
    @mateuszkowalski2307 Před 2 lety

    hi, how can i download a code?

  • @sjms9430
    @sjms9430 Před 7 lety

    Hi,
    As of now i have just hard coded the RGB values similar to what explained in the video. It is working okay.
    Now I need to make the vga component capable of outputting data from a character memory.
    We also have a processor and need an interface to write character memory from processor.
    How can we do that?

    • @manurules51
      @manurules51  Před 7 lety +1

      First of all is the processor a requirement? I know it's not a great video but I have one where I made a text generator in it: czcams.com/video/jU_txSkDGM4/video.html. This was made in verilog here's a link to the text generator in the project: github.com/NoelSh/Projects-FPGA/blob/master/Tic%20Tac%20Toe%20PS2%20mouse/textGenerator.v. I used a ROM ip core to store the text and used the x and y address to determine if a pixel should be drawn on the LCD. The LCD here works the same as a VGA monitor with the pixel address changing every clock cycle. The way it works may not make sense at first (that's including the addresses since the LCD was rotated) but I chose this method so only one module instantiation is needed. Also if you look around in the whole project I made a binary/hex to bcd to ascii converter which you will most probably need. This is all I can do right now.

    • @sjms9430
      @sjms9430 Před 7 lety

      Thank you so much.

  • @josuegonzalez3595
    @josuegonzalez3595 Před 2 lety

    all that just for a small square