Video není dostupné.
Omlouváme se.

[Photolithography Part7] Photoresist

Sdílet
Vložit
  • čas přidán 24. 01. 2024
  • Welcome to the concluding episode of our comprehensive series on optical photolithography for silicon wafer semiconductor fabrication. In this final installment, we turn our focus to photoresist technology, a critical component that significantly impacts the performance of the lithography process. This episode delves into the complexities of photoresists, interlayers, and photochemicals, covering a range from i-line to immersion ArF resists. We discuss the roles and formulations of anti-reflection coatings like TARC and BARC, alongside advanced CD shrink technologies such as RELACS, SAFIER, and DSA. Additionally, we explore the vital photochemicals involved in the coating and development processes, including thinners, developers, and rinse solutions. Below, you will find the main chapters of this video. Click on any timestamp to jump directly to the section of interest.
    1. Introduction to Lithography Material
    [02:55] Lithography Materials: Overview of photoresist, interlayers, and photochemicals.
    [04:30] Resist Types: Exploring Positive/Negative Resists and Positive/Negative Tone Develop.
    2. Photoresist (PR)
    [07:50] Photoresist Components and Functionality: Composition and roles of resin polymer, sensitizer, additives, and solvents.
    [10:50] Development of Photoresist Materials: Historical evolution of resin polymers & sensitizers from UV Negative to ArF Positive PR.
    [15:40] G-/I-Line Resist: Photochemical transformation from developer insoluble Novolak-DNQ to developer soluble ICA.
    [20:30] Limitations of DNQ-based Resist: Absorption issues of resin polymers.
    [23:25] Chemical Amplified Resist (CAR): IBM's development for KrF resists.
    [27:45] CAR for ArF Resist: Transition from aliphatic to alicyclic design.
    [30:05] Post Exposure Delay (PED) Effect: Dynamics of photo acid diffusion during post exposure bake (PEB) and neutralization during post exposure delay (PED).
    [33:05] Base Quencher: Solutions for PED issues.
    [35:35] Photo Decomposable Quencher (PDQ): Enhancements for Line Width Roughness (LWR).
    [37:35] Leaching Challenges in Immersion ArF Lithography: Mechanisms of watermark defects.
    [39:50] Developer-soluble Topcoat (TC): Addressing leaching and contamination issues in immersion ArF.
    [42:45] Non-topcoat Resist: Strategies including polymer blending and Intrinsic Topcoat (ITC).
    [48:25] Photo-sensitive Polyimide (PSPI): Applications to Re-Distribution Layer (RDL).
    3. Interlayer
    [52:10] Swing Curves and Standing Waves: Issues due to light reflection.
    [55:30] Bottom Antireflective Coating (BARC): Exploring single-layer, dual-layer, and graded BARC.
    [57:55] Principle of BARC: Comparisons with and without BARC.
    [58:45] Organic BARC Design
    [1:01:35] Developable BARC (D-BARC): Applications in ion implantation.
    [1:04:50] CD Shrinkage: Technologies such as Resolution Enhancement Lithography Assisted by Chemical Shrink (RELACS).
    [1:07:15] CD Shrinkage: Exploring Shrink Assist Film for Enhanced Resolution (SAFIER).
    [1:09:15] Block Copolymer (BCP) & Directed Self-Assembly (DSA): defect density issues.
    4. Photo Chemicals
    [1:11:35] Thinner: Applications for Resist Reduce Consumption (RRC) and Edge Bead Removal (EBR).
    [1:13:15] Positive Tone Develop (PTD) vs Negative Tone Develop (NTD)
    [1:16:25] PTD vs NTD CAR Performance: Differences in swelling.
    [1:18:05] Negative Tone Imaging (NTI): Applications for High Aspect Ratio Contact (HARC) with bright field mask and NTD.
    [1:19:10] Surfactant Rinse Effect: Addressing resist pattern collapse.

Komentáře • 10

  • @a1238071
    @a1238071 Před 6 měsíci +5

    Thanks your video

    • @SemiSlides
      @SemiSlides  Před 6 měsíci +4

      Hello, and a huge thanks to you, our silicon pioneer, for your 'Super Thanks' and the kind comment! It's fantastic to see that our video resonated with someone who appreciates the finer details of the semiconductor world. Your support isn't just a 'chip' off the old block; it's a critical component in powering our content creation. If you have any 'circuit-breaking' ideas or feedback, do 'transmit' them our way. We're more than ready to 'integrate' your suggestions into our future videos. Thanks again, and keep on rocking in the free world of tech!

  • @rock3tcatU233
    @rock3tcatU233 Před 4 měsíci +1

    Your content is awesome, keep it up!
    You've helped me get into the nanofabrication industry. :)

    • @SemiSlides
      @SemiSlides  Před 4 měsíci +2

      Caught in the whirlwind of life, finding time for content creation has been tougher than achieving the perfect photolithography pattern on a busy day in the fab. My schedule's as packed as a high-density chip, but I'm etching out moments for our channel. Your support means the world, akin to flawless wafer yields. Hang tight, Silicon Pioneers, and thanks for sticking with me through thick and thin films!

  • @mythmakinglife
    @mythmakinglife Před 6 měsíci +2

    Благодарю, как всегда очень и очень интересно и увлекательно)

    • @SemiSlides
      @SemiSlides  Před 5 měsíci +2

      Absolutely thrilled you found it interesting and exciting! We're etching our way through the complexities of semiconductor technology with a bit of humor and wit, just like a well-developed photoresist pattern. Your enthusiasm is like a high-resolution exposure, bringing out the best in our discussions. Superthanks for your engaging comment! It's connections like these that keep our circuit of conversation wonderfully conductive.

  • @user-et9qf8sq8r
    @user-et9qf8sq8r Před 6 měsíci +4

    Learn a lot from your videos. Will cover dry etch process in your future series? Thanks!

    • @SemiSlides
      @SemiSlides  Před 6 měsíci +4

      Thanks for tuning in, our silicon pioneer! We're charged up by your interest in the dry etch process. It's on our radar - just ensuring our circuit layout is spot-on so our content doesn't 'fry' like an overloaded chip! Stay connected for what's next, and thanks for your electrifying support!

  • @Tower0fHeaven
    @Tower0fHeaven Před 6 měsíci +1

    excellent series Semi!

    • @SemiSlides
      @SemiSlides  Před 6 měsíci +1

      Thank you for tuning into our photolithography series! It's been a thrilling ride through the silicon maze, sprinkled with a bit of humor to keep spirits high. Your support fuels our passion, and we hope the series has sparked both insight and laughter among fellow tech enthusiasts. Feel free to share the wisdom and keep the silicon spirit alive. Remember, our Super Thanks button is always ready for your appreciation. Here's to more adventures in the microchip world!