Vivado Simulator and Test Bench in Verilog | Xilinx FPGA Programming Tutorials

Sdílet
Vložit
  • čas přidán 29. 08. 2024

Komentáře • 39

  • @israfiltasc9704
    @israfiltasc9704 Před 3 lety +2

    Thank you very much bro very good video, ı'm a electronic enginner and People need these kinds of videos to improve themselves. Thank you again, I'm a big follower

  • @davidrichard1744
    @davidrichard1744 Před 5 lety +4

    I also think that minimization examples would be amazing!

  • @jackcoleman2295
    @jackcoleman2295 Před 6 lety +6

    Minimization examples would be amazing!

    • @SimplyEmbedded
      @SimplyEmbedded  Před 6 lety +1

      Hi Jack, I'll keep it in mind! Thanks for the feedback!

  • @prydin
    @prydin Před 5 měsíci

    Just what I needed! Thanks!

  • @user-fq1up1qb4d
    @user-fq1up1qb4d Před 2 lety

    Thanks so much for the tutorial! You told us that when writing the testbench, we should change the inputs to registers and outputs to wires. What do inouts map to?

  • @user-weird
    @user-weird Před 2 lety

    Great lecture, awesome demonstration. Thank you.

  • @SimplyEmbedded
    @SimplyEmbedded  Před 6 lety +12

    Hope you Enjoyed this Tutorial! Creating Simulations is highly important in FPGA programming - the fact that an engineer is spending about 70% of their time in creating simulations is there to emphasize the idea that most of your time should be spent on simulating your design. The actual time might vary based on the engineer and their skills. Thanks again! Leave a comment on what else would you like to learn in FPGA Programming! Also, let me know if you are interested in learning about minimizing logic circuits!

  • @mahdigoshtasebi598
    @mahdigoshtasebi598 Před 4 lety

    Thanks for short video but very helpful

  • @AnimatoAudio
    @AnimatoAudio Před 3 lety +2

    I believe there's an error with the Minimized Boolean Equations in the code. It should be:
    assign red = ~switch[3] & ~switch[2];
    assign blue = (~switch[3] & switch[2]) | (switch[3] & ~switch[2] & ~switch[1]);
    assign green = (switch[3] & ~switch[2] & switch[1]) | (switch[3] & switch[2]);

    • @addielvega
      @addielvega Před 2 měsíci

      No that is incorrect, and his equations are also not the truly minimized. For anyone wondering about this try doing the K-maps to see

  • @KennethLafond007
    @KennethLafond007 Před 5 lety +1

    Helpful intro to simulation! I've been looking for beginner intros to FPGAs and Vivado. Keep up the good work! Do you have a user group/forum as well for questions/ideas?

  • @freeelectron8261
    @freeelectron8261 Před 5 lety +3

    k maps and logic minimization please! Great tut's thanks!

  • @coding_vlsi_vietnam
    @coding_vlsi_vietnam Před 4 lety

    Great tut's thanks! hope you make more tuts.

  • @davidrichard1744
    @davidrichard1744 Před 5 lety +4

    I don't know if you are still active making these tutorials but if you are I just like to say that I would very much have liked the video more and it would have been a lot easier to follow if the visual tempo wasen't so very fast. I don't know maybe it's just me but the speed of the pop-ups and changes on the screen makes it really hard to follow along even when the things you do are very basic stuff that I know of already. I don't suggest slowing it down to real time but maybe by 25%, the video wouldn't have to be any longer or maybe a little(I know nothing about making videos) but it would be a lot easier to follow along.

    • @Osmanity
      @Osmanity Před 4 lety +1

      i do definitely agree with you. it would be a lot better :cc

  • @SirioAstarot
    @SirioAstarot Před 2 lety

    Hello, Vivado Can you perform 3D simulations like TINA does? To visualize the signal circuit in IC or FPGA development environments.

  • @derekcarson5550
    @derekcarson5550 Před 3 lety

    Can't you just enter your testbench inputs/outputs in the window you closed at 2:59? Or do you just prefer to copy and paste from your module?

  • @selvapriya1380
    @selvapriya1380 Před rokem

    im facing with this issue in vivado with my design"ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors." The messages read "[USF-XSim-62]" and "[Vivado 12-4473]" Any ideas on how to fix this would be awesome!

  • @jajajaj666
    @jajajaj666 Před 2 lety

    how can i add a clock to the simulation? i want to test this code
    `timescale 1ns / 1ps
    module module_blinkingLED(
    input clk,
    output reg led,
    output reg [31:0] counter = 0
    );


    always @ (posedge clk) begin

    if(counter == 49999999) begin
    led

  • @jackcoleman2295
    @jackcoleman2295 Před 6 lety +2

    Hey there! While playing with the simulator I keep running into the same error message and for the life of me I cannot figure what is wrong. "ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors." The messages read "[USF-XSim-62]" and "[Vivado 12-4473]" Any ideas on how to fix this would be awesome! Thank you so much for the helpful videos too!

    • @SimplyEmbedded
      @SimplyEmbedded  Před 6 lety

      Hi Jack, if possible double check and make sure you got everything absolutely correct in your testbench module (no extra commas etc.) then make sure you got everything correct in the top verilog module (all syntax correct). Check out the video from 5:31. If the problem persists, check out my facebook page (facebook.com/SimplyEmbedded) and message me there by sending your code for the verilog top module and your testbench file. I'm glad to hear the videos are helpful!

    • @SimplyEmbedded
      @SimplyEmbedded  Před 6 lety +1

      Hey Jack, did you ever get the simulator running?

    • @jackcoleman2295
      @jackcoleman2295 Před 6 lety +1

      @@SimplyEmbedded I did when I ran it on my laptop, and not my desktop. Everything was the same just a different machine. Any ideas why a certain PC would have issues?

    • @SimplyEmbedded
      @SimplyEmbedded  Před 6 lety +1

      I'm glad it worked on your laptop at least, well I can't say for sure as long as I haven't seen the code you try to run on the PC. Although some research online implies that it is a mistake in the code (either the Top module or the simulation file). You can check the error message as it gives you a path to a file [USF-XSim 62] 'elaborate' step failed with error(s). Please check the Tcl console output or 'path/something.log' file for more information. find and open this file and read what it will tell you or check the TCL console output for specific error messages in the files (it should tell a specific line in a verilog module). Try it out and see if it makes any difference - let me know if you are able to resolve it like that :)

    • @jackcoleman2295
      @jackcoleman2295 Před 6 lety +1

      Will do! Thank you so much!

  • @marshalstewart7776
    @marshalstewart7776 Před 4 lety +1

    Moved along very quickly, simpler example would be better.

  • @ainnadihah216
    @ainnadihah216 Před 3 lety

    didi you know how to make SISO 4bit

  • @DavidGameplaysMex
    @DavidGameplaysMex Před 3 lety

    My vivado don't synthesis, it takes hours and nothing :c

  • @michaelsteadman7973
    @michaelsteadman7973 Před 10 měsíci

    Grady you're very good but you need to drink less coffee before doing a demo with Vivado. You're going at warp speed and some of us can only go at sub-light speed. Trying to take notes, during your presentation, is an exercise in frustration to the extreme.

  • @sarah2lol
    @sarah2lol Před rokem

    you give me d4epression

  • @mustafaglnr8780
    @mustafaglnr8780 Před 5 lety +2

    why did you struggle to keep episode shorter, no details and some critical point blows in the video,
    Please keep longer +2 min , you can lose anything, on the contrary, earn more audience and money.

    • @Osmanity
      @Osmanity Před 4 lety

      really agree with you :CC