Why 157nm Lithography Failed

Sdílet
Vložit
  • čas přidán 6. 09. 2024
  • For a long time, the semiconductor industry's primary economic engine was Moore's Law. An internal benchmark of doubling the number of devices on an integrated chip every 18 months.
    Broadly speaking, three engines drove these advances. Semiconductor design, increasing wafer sizes, and lastly, lithography.
    Improvements in optical lithography techniques have been the true driving force behind producing faster and faster chips. But coming up to the new millennium, it became clear to everyone that the lithographic train of progress was braking to a slow halt.
    Was there enough left in the tank for one last ride?
    Links:
    - The Asianometry Newsletter: asianometry.com
    - Patreon: / asianometry
    - The Podcast: anchor.fm/asia...
    - Twitter: / asianometry

Komentáře • 270

  • @bill8985
    @bill8985 Před 2 lety +355

    I worked in the industry at that time and remember the announcement in 2003. It was stunning, really, because so much effort and money had been poured in by many, many organizations. Thankfully, some clever folks figured out that (highly-purified) water was a viable alternative when supplied in the right location. And then a slew of ever-amazing innovations to extend the performance of sub-wavelength patterning with 193 light. It's one of the underappreciated success stories of the last 50 years.

    • @raylopez99
      @raylopez99 Před 2 lety +11

      Sh!t happens. Same thing happened in programming when Microsoft threw Silverlight under the bus. Such a nice clean language (speaking as an amateur coder, it was never my day job). But the programming language pivot was not due to technical factors, more like popularity factors (the anti-MSFT crowd liked HTML5 and other such dirty languages).

    • @hansmuller3676
      @hansmuller3676 Před 2 lety +8

      My collegue was in the taskforce to develop immersion objective within months and they did a Great Job !

    • @arthas640
      @arthas640 Před rokem +1

      I barely understand the science or the tech but I heard a bit about that since the city i lived in was basically a Microsoft suburb at the time.

    • @botfeeder
      @botfeeder Před rokem +5

      I didn't pay attention what was going on in semis for a number of years but when I looked into it at some point down the road I was astounded to learn that they were still using 193 to produce stuff with features way smaller than that wavelength. Some really smart optical engineers.

    • @FenrirRobu
      @FenrirRobu Před rokem

      @@raylopez99 that is just straight incorrect

  • @121Zales
    @121Zales Před 2 lety +290

    I love the combination of professionally produced content, high level engineering/historical information, and dank memes

    • @bakedbeings
      @bakedbeings Před 2 lety +24

      Dank nanomemes

    • @rkan2
      @rkan2 Před 2 lety +11

      We need a 2nd channel of Jon's called Danknometry

    • @StefanReich
      @StefanReich Před 2 lety +4

      True. Laughed so hard at 10:05

  • @Grak70
    @Grak70 Před 2 lety +136

    Fun story: the first full field functioning scanner ever made for 157 was manufactured by SVG (later acquired by ASML for their catadioptric lens designs). At the time, it was thought the entire lens train, including the condenser optics, would need to be made from ultra-pure calcium fluoride. It was later discovered that fluorine doped quartz would do the trick for all but the few final lens elements. At the time, that one scanner contained the largest concentration of lithography suitable CaF2 in existence. The projection lens was literally worth its weight in gold.

    • @sooocheesy
      @sooocheesy Před 2 lety +17

      I heard CaF2 had issues with thermal expansion as well as the problem with birefringence, both of which caused unacceptable image resolution. Not to mention that it's fragile, difficult to polish and expensive as hell. I've worked with it plenty on excimer laser optics (nearly all the light source optics are CaF2) and it really an order of magnitude harder to get right than SiO2 optics.

    • @rkan2
      @rkan2 Před 2 lety +11

      Considering gold's price back then... probably worth more than its weight in gold!

    • @Grak70
      @Grak70 Před 2 lety +4

      @@sooocheesy very true. It’s much more difficult to make a large piece of CaF2 with uniform optical properties than fused silica/quartz. As such, high NA optics were always going to be a problem.

    • @uiopuiop3472
      @uiopuiop3472 Před 2 lety

      im use caf2 for my diy lihograpfy maschine. right has problems but first. its good

  • @mbhinkle
    @mbhinkle Před 2 lety +59

    Don't know how you got in my feed awhile back young man but those algorithms and functions really "know" me. Great work.

    • @dansands8140
      @dansands8140 Před 2 lety +4

      Oh you have no idea. I had a phone conversation with a friend about the mathematics of baseball scoring. I have never had anything to do with baseball in my life. The next day, my Windows taskbar had baseball scores for the first time.

    • @RameshChhugani
      @RameshChhugani Před 2 lety +4

      @@dansands8140 it's happening. It's begun. U have an Alexa, irrespective of whether u buy or don't, all apps are listening...watching...monitoring...locating....running statistics, classifying...ranking.....

    • @honderdzeventien
      @honderdzeventien Před 2 lety +3

      Isn't it this the best-one-yet?

    • @honderdzeventien
      @honderdzeventien Před 2 lety +3

      @@dansands8140 so when did you last talk about integrated circuits with this person?

    • @DaxVJacobson
      @DaxVJacobson Před 2 lety +3

      Don't talk about how you get on the lists or they'll put you on the other list, the bad list.

  • @ryandick9649
    @ryandick9649 Před 2 lety +31

    Another accurate and succinct telling of the semiconductor development history. There was a period of time between the 450nm wafer and 157 litho dead-ends when a lot of the leaders seemed to have lost the way forward.

    • @soren6045
      @soren6045 Před 2 lety

      I won‘t say accurate, the details about photo resist are pure nonsens.
      EUV was never on the table at that time. It was still in research phase.

  • @sshko101
    @sshko101 Před 2 lety +28

    9:47 "The natural existence of barium fluoride was predicted by Michael Fleischer in 1970. Later in the same year, the mineral was discovered by Arthur S. Radtke and named after Frank W. Dickson (born 1922), professor of Geochemistry at Stanford University in recognition of his contributions to geology and geochemistry of low-temperature ore deposits".

  • @zeroredblade
    @zeroredblade Před 2 lety +5

    @6:33 is that a Gurren Lagann reference? A man of culture!

  • @o73venky
    @o73venky Před 2 lety +57

    EUV is technically still optical lithography, however is reflective rather than refractive method of lithography. (It's still photons, just close to soft x rays)
    i.e 193 is refractive the lens refract light to get the pattern on the wafer. EUV reflects light to get the pattern in the wafer.

    • @ShadowKiller71
      @ShadowKiller71 Před 2 lety +2

      i was about to ask why would EUV process not be considered optical lithography anymore. i guess it was just a small mistake in the vid

  • @botfeeder
    @botfeeder Před rokem +7

    They don't mention a key player in 157nm which was Silicon Valley Group. I bought some of their stock back in the day, figuring being a maker of the leading-edge photolithography equipment could result in the stock going way up. Instead, 157nm floundered. SVG was eventually bought out by ASML, and I actually still made money on the stock, but it wasn't of course the motherlode that I had hoped it might be.

  • @markhonea2461
    @markhonea2461 Před 2 lety +37

    At some time in the past much of this process was highly guarded secrets right ? I have been wondering about the 'how' and 'what' for a long time, but only lately has this channel helped me to understand it.👍 thanks

  • @justin5803
    @justin5803 Před 2 lety +12

    I've been to that bridge from 193nm to EUV. It is in Kaohsiung at the Pagodas.

  • @jimstewart336
    @jimstewart336 Před 2 lety +6

    I would add the US contributions of ETEC and Varian Extrion during the early 80's. Both companies manufactured and delivered E-beam lithography machines, both to all of the US semiconductor companies and also to Hitachi, NEC, Oki and Mitsubishi. Those E-beam machines were used mostly for writhing high quality reticules for step-and-repeat and masks for contact printing. The machines could also direct-write on wafers, but that capibility was rarely used. Masks produced by the machines were used to produce the high density (of that era) Dee-RAM (correct pronunciation of DRAM) that got Japan on the map as a state-of-the-art semiconductor player.
    These machines were based on the Bell Laboratories EBES machine. Bell Labs licensed the design to both companies and they took the design from a laboratory prototype to production machines.

  • @softballm1991
    @softballm1991 Před 2 lety +11

    I love this video, I worked in the industry but cannot explain the technology. I have just sent to video to 6 associates who are always asking questions. Great Job.

  • @tristanwegner
    @tristanwegner Před 2 lety +1

    8:16 I am grateful for the text saying when a picture does NOT show what is talked about, and is just a general cool image. Too many other channels are very imprecise with that.

  • @Ivan-pr7ku
    @Ivan-pr7ku Před 2 lety +38

    The steady lithography progress during the 90s convinced Intel to go with the radical NetBurst architecture with the projection of 10GHz target, following the Moore's Law. As alluded in the video, by 2003~2004 the illusion fell apart and the 90nm Prescott was the coal mine canary that the semiconductor industry had to cool down and plans be re-evaluated.

    • @chrimony
      @chrimony Před 2 lety +11

      Over 20 years later, I'm still waiting for my 10GHz machine :(

    • @HermanWillems
      @HermanWillems Před 2 lety +4

      @@chrimony but we casually have 16 to 128 cores in our pc's now.

  • @HouseOfFunQM
    @HouseOfFunQM Před 2 lety +5

    >1999, the year of the Dreamcast
    Asian deer man, you are amazing haha

  • @melgross
    @melgross Před 2 lety +18

    They didn’t lose hundreds of millions on 157, they collectively lost billions. And it didn’t seem as though EUV would ever be ready. There was one setback after another.

  • @harrykekgmail
    @harrykekgmail Před 2 lety +56

    What a history!
    It's good to learn of the losers too.
    Just as much knowledge was acquired too.

    • @nexusyang4832
      @nexusyang4832 Před 2 lety +7

      Early accesses. ;)

    • @pettahify
      @pettahify Před 2 lety +4

      @@ballsack4581 That's because he's a time traveller.

    • @washedtoohot
      @washedtoohot Před 2 lety +1

      @@ballsack4581 The people deserves to know!

  • @2beJT
    @2beJT Před 2 lety +16

    1999 Year of the Dreamcast... I'm going to always say that now when referring to 1999.

    • @andersjjensen
      @andersjjensen Před 2 lety +6

      1999.. The year:
      The Matrix came out along with Fight Club and Pulp Fiction
      Napster was first released
      SpongeBob SquarePants aired for the first time
      Putin became president of Russia and invaded Chechnya
      Uh... I think 1999 was the year where the timeline fucked up...

    • @rkan2
      @rkan2 Před 2 lety

      @@andersjjensen It was because people celebrated the new millenia in 2000 lol!!

    • @hammerheadcorvette4
      @hammerheadcorvette4 Před 2 lety

      @@andersjjensen Well, the Dreamcast was also a fuckup. . .So yeah?! 1999 was the year of the fuckup. Y2K computer discs and all.

    • @talibong9518
      @talibong9518 Před 2 lety

      Except the Dreamcast was released in '98. '99 was the year of the PS2.

    • @2beJT
      @2beJT Před 2 lety

      @@talibong9518 The world doesn't live in Japan. 9.9.99 was the magic date.

  • @andersjjensen
    @andersjjensen Před 2 lety +27

    Jesus we've been hanging in there with multipaterning for a long time. TSMC N7 is the last iteration that didn't use EUV. Even N6, which is only a 18% density increase over N7, uses EUV for the critical layers.

    • @HailAzathoth
      @HailAzathoth Před 2 lety +1

      Yeah there's no point using euv for interconnect levels when 193i has high throughput, it's only really used for stuff like fin and gate definition.

  • @OgbondSandvol
    @OgbondSandvol Před 2 lety +23

    It's always a pleasure watch yours videos, even if I don't have any personal interest in semiconductor fabrication, besides beeing a technology enthusiast.

  • @SchlossDW
    @SchlossDW Před 2 lety +29

    A similar thing happened to the effort to transition to 450mm wafers. And similar to 157nm lithography, the semiconductor equipment industry footed the bill.

    • @OnlyUseMezBladz
      @OnlyUseMezBladz Před 2 lety

      Intel payed for it, ASML made it, and no one came

    • @Grak70
      @Grak70 Před 2 lety +1

      A lot of the reason 450mm died was the equipment folks NOT wanting to get screwed like they did with 300mm. They still got screwed on 450, but not as bad as if they’d gone all in.

    • @rkan2
      @rkan2 Před 2 lety

      @@Grak70 you mean nm? ;)

    • @andyyang5234
      @andyyang5234 Před 2 lety +4

      @@rkan2 Pretty sure mm is correct. They're talking about wafer diameters here.
      czcams.com/video/0s5TO9h6fco/video.html

    • @Grak70
      @Grak70 Před 2 lety +2

      @@rkan2 ah…no? Lol

  • @Alexander_Sannikov
    @Alexander_Sannikov Před 2 lety +18

    just for reference i wanted to mention that silicon dioxide/fused silica used to make lithography masks is also known as quartz

    • @janami-dharmam
      @janami-dharmam Před 2 lety +9

      quartz is the name for the crystalline variety; there are two main types alpha and beta quartz. The glass type, fused silica is amorphous and is the material of choice because it is isotropic.

  • @peterparsons7141
    @peterparsons7141 Před rokem

    Your work on these video’s is excellent. The information is presented in a very well thought out way. The beauty of these video’s is that it allows me to stop the video, and go and do a little reading about any of the details and then resume the presentation with a basic understanding of any pertinent detailed information. Your video’s allow me to have a good general understanding of complex systems.
    I have watched several of your video’s, they are very well done. Good work!

  • @mrembeh1848
    @mrembeh1848 Před 9 měsíci +1

    Amazing video, as always!
    Slight correction, the laser often not in the exposure tool, especially for EUV machines, but comes from the floor below.
    Also : these numbers, like 111, 110, etc. are not an ASML invention, but so-called Miller indices

  • @KomradZX1989
    @KomradZX1989 Před 2 lety +8

    Man I love learned about niche stuff from you… And when it’s a niche failure, it’s just like icing on the cake! 🎂🎂🎂
    10/10 as usual dude 😁👍👍

  • @jedermann05
    @jedermann05 Před 2 lety +13

    “Oil Immersion” between the tip of the lens and the sample was long known in microscopy. I have wondered why it took so long to “discover” immersion (with water, not oil) for microlithography.

    • @Grak70
      @Grak70 Před 2 lety +9

      I assure you, stepper optics makers knew about immersion as a concept from the beginning. The problems were 1) why solve a bunch of novel engineering issues before you absolutely have to and 2) once you have solved them, how to convince fabs that having liquid in contact with their wafers during exposure wouldn’t cause killer defects.

    • @jedermann05
      @jedermann05 Před 2 lety +2

      It was the customers who pushed for immersion in the 1980’s. For example, Burn J. Lin in Taiwan and Ghavam Shahidi at IBM. They were not the ones who had to be “convinced” to overcome inertia and redirect from 157 to immersion.

    • @soren6045
      @soren6045 Před 2 lety +5

      You move your microscope with 300mm/s?
      Immersion has to keep the water film intact and without any bubbles. The 1st commercial system the ASML 1700i failed by this issue.

  • @kasuha
    @kasuha Před 2 lety +7

    Exploring dead ends is necessary part of progress. Nobody really knew at the start if the technology will be viable. And they still invented many things on the way that became useful elsewhe.

  • @LeleSocho
    @LeleSocho Před 2 lety +3

    Come for the lithography knowledge, stay for the Gurren Lagann jokes.

  • @orphidian11
    @orphidian11 Před 2 lety +1

    6:34 somebody's piercing the heavens with their drill

  • @scottfranco1962
    @scottfranco1962 Před 2 lety +10

    Well good news and bad news, Joe.
    Good news is all the freaking money in the world is available for you complete this project.
    Bad news is everyone in the company is watching if you succeed, and nobody is even sure its possible.
    Good talk. Meeting at 11am.

  • @rodolfonetto118
    @rodolfonetto118 Před 2 lety +1

    Your channel is amazing! Soon I'll be pronouncing DRAM 'dram' instead of 'dee-ram' and find it natural!!! Keep up the good work - hugs from Brazil.

  • @VicenteSchmitt
    @VicenteSchmitt Před 2 lety +5

    Amazing to see the non linearity of progress

  • @MoraFermi
    @MoraFermi Před 2 lety +3

    These (100), (110), (111) numbers are crystallographic directions and specify how the crystal is cut relative to its unit cell.

  • @grizwoldphantasia5005
    @grizwoldphantasia5005 Před 2 lety +2

    Amazing how something so boring is actually fascinating once you dig into the details. Thank you.

  • @vibrolax
    @vibrolax Před 2 lety +1

    Exciting and terrifying times for us in the lithography optics business. So many tools and processes needed to be developed in parallel that performance, schedule, and cost risks were constant worries.

  • @PedanticNo1
    @PedanticNo1 Před 2 lety +1

    This channel missed an opportunity to be called Asian Memenometry.

  • @whollymindless
    @whollymindless Před 2 lety +1

    It is amazing to see just how much cooperation is necessary between suppliers, vendors, manufacturers to make these things come to production.

  • @StevieCooper
    @StevieCooper Před 2 lety +3

    If I’ve learned anything from this channel it’s that “Simple Ultra-pure Water” is an oxymoron

    • @janami-dharmam
      @janami-dharmam Před 2 lety +1

      water is not quite stable under UV, particularly if there is some dissolved O2 or CO2.

  • @alexanderschoenberger8731

    great video! thanks for the insight. keep it up👍🏼

  • @soren6045
    @soren6045 Před 2 lety +2

    Sorry, but I have some of statements made in the video. The photoresist are usually less sensitive at shorter wavelength. So you have to enhance the sensitivity. Chemical amplified reisists were born.
    Thinning down the resist is simply driven by line width. You can‘t use 300nm resist thickness for 30nm lines. The lines will collapse during development by the high adhesion forces. This forces the industry to introduce new pattering processes with hardmasks.
    EUV was never on the table at that time. I saw the 1st lenses for EUV at Zeiss in 2005, when immersion was already in mass production. At that time 157nm was already dead.
    To call EUV not „optical“ is pure nonsense. 2 of your 3 points also apply to EUV.

  • @guruG509
    @guruG509 Před 2 lety +1

    A video on Universal Chip Interconnect would be great

  • @jedermann05
    @jedermann05 Před 2 lety +4

    You mentioned that the light path had to be in an atmosphere of CO2 so oxygen would not absorb the light. This was challenging to do without too many changes to the base architecture. If too much CO2 leaked into the room, it could cause hypoxemia for people working around the machine. Everyone had to wear an oxygen alarm for personal safety.

    • @soren6045
      @soren6045 Před 2 lety +1

      Ok., but the used high toxic chemical (for example phosphin, metallorganics), the tons of sulphuric and hydrofluric acid or high explosives like silanes are not a problem?

  • @Jasx_501
    @Jasx_501 Před rokem

    I appreciate the visual "examples" used during this video.

  • @SIC66SIC66
    @SIC66SIC66 Před 2 lety +2

    I made that die shot of a Pentium II @ 5:05. Nice to see it in a video like this! :D

    • @patmelsen
      @patmelsen Před 2 lety

      Nice shot! Do you upload them somewhere? I'd love to get one as a poster.

  • @thomaswinston5142
    @thomaswinston5142 Před 2 lety +2

    This is great a very interesting and informative technology channel 😊

  • @TymexComputing
    @TymexComputing Před 2 lety +6

    The harddisk head flies as low as 10 nm over the bumpy plate... and here we care about 11-25nm of distortion :)

    • @florin604
      @florin604 Před 2 lety

      No

    • @TymexComputing
      @TymexComputing Před 2 lety +1

      @@florin604 Yes it is - Head Media Spacing in 1Tb/in^2 disks requires 10nm spacing, HAMR recording requires < 3nm of spacing :(

  • @VioletPrism
    @VioletPrism Před 2 lety +7

    Love all your videos keep it up!

  • @GerardHammond
    @GerardHammond Před 5 měsíci

    You should start with the middle explanation section

  • @hebrewhammer1000
    @hebrewhammer1000 Před 2 lety +2

    Awesome video. Thanks for sharing. It would be interesting to hear what you have to say about e beam lithography

  • @Deleurme
    @Deleurme Před 2 lety +3

    Merci, c'est super intéressant : Je viens de m'abonner et je sens que je passer beaucoup, beaucoup de temps sur vos vidéos

  • @tulsatrash
    @tulsatrash Před 2 lety

    I like hell you put the introductory lines for the episode into the description.

  • @thederpZOMBIES
    @thederpZOMBIES Před rokem

    regarding mirrors, the use of molybdenum mirrors was also the norm.

  • @agotti
    @agotti Před 2 lety

    This video is one of the best learning videos I have seen in recent times! Thanks

  • @drwho9437
    @drwho9437 Před 2 lety +1

    EUV is optical lithography. Mirrors and gratings are optics. 10 nm is still a photon...

    • @soren6045
      @soren6045 Před 2 lety

      Also x-ray or gamma ray consist of photons. Long wave „light“ like radio waves are less like a particle.

  • @edwardblair4096
    @edwardblair4096 Před 2 lety +1

    That was interesting, but left me wanting to know more about the new technology that the 157 nm was supposed to be the bridge to. Do you have a similar video describing the EUV technology? How is it different?

    • @Henning_Rech
      @Henning_Rech Před rokem

      193i: czcams.com/video/1WJWYMTLeOg/video.html
      EUV: czcams.com/video/5Ge2RcvDlgw/video.html

  • @litmusaero9660
    @litmusaero9660 Před 2 lety +1

    Another banger. I’d say here before a milly but if you keep pumping out these it might not be true by the time I comment 🚀🏆

  • @Fish-ub3wn
    @Fish-ub3wn Před 2 lety

    damn, the quality of your vids is through the roof aaand you make meme wordplays. 10/10.

  • @sebastianwolfmayr
    @sebastianwolfmayr Před 2 lety +3

    It's not that ASML came up with 111 and 100 labels, these numbers are Miller indices. It's a very basic concept in crystallography.

    • @soren6045
      @soren6045 Před 2 lety

      Right and silicon wafers for example have a (001) surface and transistors are parallel to (110) or sometimes 45deg rotated (100) (quite common for technologies without SiGe strain technology).

  • @pirincri
    @pirincri Před 2 lety +1

    You're on fire dude!

  • @fxsrider
    @fxsrider Před 2 lety +4

    Man you make some interesting videos.

  • @geonerd
    @geonerd Před 2 lety +1

    Excellent as always. Thanks.

  • @suncrafterspielt9479
    @suncrafterspielt9479 Před 2 lety +1

    Where do you get all this information from?

  • @Ethan7s
    @Ethan7s Před 2 lety +2

    So for reference, what size are we on now?

    • @mellowInventor
      @mellowInventor Před 2 lety

      en.wikipedia.org/wiki/3_nm_process?wprov=sfla1 production of "3nm" is beginning, produced with EUV tech.
      "The term "3 nanometer" has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by IEEE Standards Association Industry Connection, a 3 nm node is expected to have a contacted gate pitch of 48 nanometers and a tightest metal pitch of 24 nanometers. However, in real world commercial practice, "3 nm" is used primarily as a marketing term by individual microchip manufacturers to refer to a new, improved generation of silicon semiconductor chips in terms of increased transistor density (i.e. a higher degree of miniaturization), increased speed and reduced power consumption, Moreover, there is no industry-wide agreement among different manufacturers about what numbers would define a 3 nm node. " - from article

    • @Grak70
      @Grak70 Před 2 lety

      State of the art production is TSMC 3nm and 5nm node and whatever Samsung is calling their equivalent node, I forget.

    • @bakedbeings
      @bakedbeings Před 2 lety +1

      As @@Grak70 said, the components are described as equivalent to 5nm gates (I think) in the old measurement, but yeah tsmc are firing *13.5nm* EUV light at the masks now, vs 157 😳

  • @XmarkedSpot
    @XmarkedSpot Před 2 lety

    You've won me over. I'll be about here as long as you have something to tell about.

  • @filipemecenas
    @filipemecenas Před 2 lety +1

    I love this channel , great work !!

  • @MisFakapek
    @MisFakapek Před 2 lety +2

    Enjoyable. Even before watching it full.

  • @user-ek1to4gq3h
    @user-ek1to4gq3h Před 10 měsíci

    To summerrise, the photoresist was never found and 157 immersion fluid was not found either for after 157 waves.

  • @scottfranco1962
    @scottfranco1962 Před 2 lety

    Two short factoids:
    1. IBM thought X ray lithography was the way to go and failed (ultrashort wavelengths). They may have just been to early.
    2. How do they even know the next generation chips are even possible? Test chips can be created (slowly) by using scanning electron beams. Electrons have a really short wavelength. There is no mask used, each step on the chip is done by scanning it. Hence it is slow, but works for lab use.

  • @marcoasa90
    @marcoasa90 Před 2 lety +1

    One hundred is for sure an uncommon way to pronounce the one zero zero plane of a crystal

  • @etherjoe505
    @etherjoe505 Před 2 lety

    Fascinating 👍👍 Another great video 👍👍

  • @miklov
    @miklov Před 2 lety +1

    Fascinating. Thank you!

  • @ubulom76
    @ubulom76 Před 2 lety

    Great summary, as always! But I miss your explanation on how you draw a line thinner than the point of your pencil.

    • @soren6045
      @soren6045 Před 2 lety

      Starting with a wrong similie is not helpfull to understand the limits of optical systems.
      You cannot write smaller lines than the pencils width, but this has nothing to do with optical lithography. There is the simple Abbes law. This explains all.

    • @HailAzathoth
      @HailAzathoth Před 2 lety

      SADP bro look it up

  • @J_X999
    @J_X999 Před 2 lety +3

    Could you do a video on China semiconductor industry corruption crackdown. Seems like China is getting serious about semiconductor progress

  • @COMATRON.
    @COMATRON. Před 2 lety

    can't await the next step (core 2 area when apple went x86 - i guess that was around that time)

  • @hugoboyce9648
    @hugoboyce9648 Před 2 lety

    Great video (and research)!

  • @johnweiner
    @johnweiner Před 2 lety

    @4:47, that image looks very much like chlorine gas, not fluorine gas, that, as I remember from my chemistry lab, is colorless. Chlorine gas has a yellowish hue, just like the image.

  • @hmichaelkraut7968
    @hmichaelkraut7968 Před 2 lety

    Thanks!

  • @zouna007
    @zouna007 Před 2 lety

    Great video!

  • @atiessen
    @atiessen Před 2 lety

    Top video! Thank you very much. Greetings from Germany

  • @jimbronson687
    @jimbronson687 Před 2 lety

    in late 90s the switch from aluminum to copper was the big kahunah also.

  • @globalvillage423
    @globalvillage423 Před 2 lety

    Cerebras Systems company has a large chip with trillion transistors, look it up. It is like a supercomputer on a chip.

  • @nexusyang4832
    @nexusyang4832 Před 2 lety +1

    So when is the 157 nm equivalent moment for EUV coming?

    • @varno
      @varno Před 2 lety +1

      It isn't, EUV is in production right now, and high NA is moving along, though there is no real reason to think that it will be possible to go lower wavelength than current EUV.

  • @arunaschlevickas322
    @arunaschlevickas322 Před 2 lety

    2:58 but you said that you would not bend to industry professional standard for spelling of ArF :(

  • @juanjosoliz7297
    @juanjosoliz7297 Před 2 lety

    the orientations number lik [111] are cristaographic orientations, and is not only asml or carl zeiss that use them thats the standard!

  • @ashardalondragnipurake
    @ashardalondragnipurake Před 2 lety +1

    its weird they invested in something that was well know to be so temporary and a dead end
    just waiting a few years for a real road to progress and not wasting millions was just ignored as an option
    its as if tech demands progress without actually moving forward
    it seems so irrational

  • @abcdefqwerty2678
    @abcdefqwerty2678 Před 2 lety

    Thank you, excellent.

  • @miinyoo
    @miinyoo Před 2 lety

    What a gnarly ride.

  • @noka1979
    @noka1979 Před 2 lety

    I worked with microlithography machines a few years ago.

  • @runthejules91
    @runthejules91 Před 7 měsíci

    "Year of the Dreamcast" respect.

  • @aa-xn5hc
    @aa-xn5hc Před 2 lety

    Love these history videos

  • @maverick9409
    @maverick9409 Před 2 lety

    What's your opinion on 3D stack CMOS?

  • @Hibbyhubby
    @Hibbyhubby Před 2 lety

    thanks for the amazing content as always

  • @MostlyPennyCat
    @MostlyPennyCat Před rokem

    Everyone gangster until the flourine delivery truck arrives 😳

  • @scionga
    @scionga Před 2 lety

    As soon as he said 'the year of the dreamcast' I had to like the video instantly out of impulse

  • @youichikawasa
    @youichikawasa Před rokem

    193nmの光は水を透過できるが157nmの光は水を透過しない。
    193nmの液浸露光が生産に耐える事が分かった時点で157nmドライ露光の意味は無くなった。
    水以外の溶媒を使えば157nmの液浸露光を実現出来るが、その溶媒は高価だった。

  • @nobody4y
    @nobody4y Před 2 lety

    "Frankdicksonite" not gonna lie , I laughed .

  • @EbonySaints
    @EbonySaints Před 2 lety

    6:33 Source: Toppan (Gurren Lagann)
    Look, I may have been hammered watching it, but I don't recall Kamina espousing the benefits of EUV Lithography to Simon or Yoko holding a lithography mask over her bikini during the show. I don't mind jokes, but make them obvious and not a part of something that someone might want to look up.

  • @Kevin_Kennelly
    @Kevin_Kennelly Před 2 lety +3

    If you were to WILDLY SPECULATE....
    what technologies could possibly lead to the next (beyond EUV) generation of ICs?

    • @Grak70
      @Grak70 Před 2 lety

      Gate-all-around (a finFET with the gate completely wrapped around the channel) is already planned by Samsung and TSMC. High-NA EUV is a beast so difficult it’s really more of a successor technology to today’s EUV. Beyond that, there’s not really any clear solution for further pattern scaling. EUV itself was a pipe dream for decades until it became a necessity. We don’t really even have a serious contender for pipe dreams at this point…

    • @HailAzathoth
      @HailAzathoth Před 2 lety

      @@Grak70 euv will be the last litho technology because we will hit a hard wall with material/physical limitations before it is exhausted. A transistor with a 1nm gate (~6 atoms) will not work for Qmech reasons.

  • @mike941789
    @mike941789 Před 2 lety +1

    The dreamcast was a dream that was cast out of joy and wonder for you and me and society let it waste away.