How to Make Long-Range Transmitter and Receiver for DATA (Arduino)

Sdílet
Vložit
  • čas přidán 30. 04. 2024
  • In my previous video, I mentioned how to calculate an LC circuit and make a proper coil, how to tune the correct frequency, how to make a perfect antenna, and even how to communicate with each other. but that was only Radio Communication.
    In this article, you can learn how to transmit and receive digital data via radio.
    Data means the PWM signal and even its duty cycle control. that means how to connect a radio with an Arduino
    previous videos
    How to Make HF Band Walkie-Talkie for Long-Distance
    • How to Make HF Band Wa...
    How to Make AM and SW Radio Receiver
    • How to Make AM and SW ...
    component purchase link
    1= Aduino Nano= amzn.to/4aSxY1E
    2= Servo Motor= amzn.to/4digqh9
    3= Rotary pot = amzn.to/3UeWRxi
    4= 3.7 V Lithium-ion Battery= amzn.to/3QsSkGB
    5= Resistors = amzn.to/3y7FaZ8
    6= capacitors =. amzn.to/4dmTUDS
    ###website link###
    www.etdiscover.in/2024/05/How...
  • Věda a technologie

Komentáře • 64

  • @mehmetaydnhan6154
    @mehmetaydnhan6154 Před 2 měsíci +2

    gerçekten çok teşekkür ederim. bu konuyu uzun süredir anlamaya çalışıyordum. paylaştığın son 3 video sayesinde aklımdaki soruların çoğuna cevap buldum .

  • @amigogamers3717
    @amigogamers3717 Před 2 měsíci +2

    Could you please explain the variable inductors section. Make a video for how the variable inductor making

  • @user-lu7in1gp6p
    @user-lu7in1gp6p Před měsícem +2

    Please to make a long range toy car transmitter and receiver with ele tronic component

  • @MsSergey1313
    @MsSergey1313 Před 2 měsíci +1

    It's really useful, thanks!

  • @mutuyimanajanvier2174
    @mutuyimanajanvier2174 Před měsícem +1

    This is great video and thanks
    But there is confusion
    1.In datasheet there's potentiometer of 10k and 11resistors while in video there's 12resistors and potentiometer why?
    2.in datasheet there is 47μf but in video there are ceramic only explain for us
    Thanks 🙏🏻🙏🏻

  • @mayoortechinnovations
    @mayoortechinnovations Před měsícem +1

    Impressive

  • @nithylabs
    @nithylabs Před 2 měsíci +1

    Use some available ics for LVDS idea

  • @supratimmondal5071
    @supratimmondal5071 Před 2 měsíci +3

    I am searching for sometime like this for my Analog Communication Project. Thanks for dropping the video and explaining each step in details ❤

  • @mobilerepair6365
    @mobilerepair6365 Před 2 měsíci +1

    nice project

  • @Chems7308
    @Chems7308 Před 2 měsíci

    Very nice brother, always like your your vedios

  • @mutuyimanajanvier2174
    @mutuyimanajanvier2174 Před 10 dny

    Great video and I tried it was completed when I'm checking I use breadboard and LED for RX and SW for Tx and LED is off when pressed
    But for when I connect with RX-TX2B OR HT12E-D it doesn't work does this DIY module work for Arduino projects only or there is error??

  • @ARSHIARSH
    @ARSHIARSH Před měsícem +1

    Hi dear you make a nice video.
    Have there any option for without coil. I mean can we use crystal for this project

  • @ragibhasan8099
    @ragibhasan8099 Před měsícem +1

    why there are 4pin on reciver

  • @DarioushAryan
    @DarioushAryan Před 2 měsíci +1

    great

  • @Aqidshah
    @Aqidshah Před 2 měsíci +3

    Make a walkie talkie using esp8266 whose transmitter we can connect to our phone hotspot to send data and at receivers end we connect other esp with internet and receive data on speaker connected to it

  • @er.himanshu99
    @er.himanshu99 Před 2 měsíci +1

    Sir can you please make Voice recognition module or suggest any cheaper alternative to ₹2000 modules

  • @nithylabs
    @nithylabs Před 2 měsíci +2

    Can u make diy LVDS display controller from scratch.❤

  • @niloytesla
    @niloytesla Před 2 měsíci +2

    understand...

  • @TechnoPrimus510
    @TechnoPrimus510 Před 13 dny

    Bro try to make 433mhz transmitter and receiver module circuit of your own as you done with 27mhz module

  • @Am_Photography13709
    @Am_Photography13709 Před 2 měsíci +2

    bhai ye ekdum mast hai previously i tried to build such a thing by myself it was very complicated and failed after 5-6m even if it was a 12mhz one but i copied everything from your video and its working similarly like u and my main goal was to do some analog transmission and its working thanks bro.

  • @gurup9329
    @gurup9329 Před 2 měsíci

    After watching this video i realise how much important my electrical engineering subject electric circuit eci.

  • @ANANTHASANKAR_UA
    @ANANTHASANKAR_UA Před 2 měsíci

    Well done brother . Really appreciate your effort ❤Im also an electronic Hobbyist & CZcamsr form kerala. Subscribed your channel 🔥

  • @abualahadchowdhury2646
    @abualahadchowdhury2646 Před 2 měsíci +1

    Can this be used to control a Quadro copter drone?

    • @EtDiscover
      @EtDiscover  Před 2 měsíci +1

      I’m not sure, I haven't checked that properly yet

    • @abualahadchowdhury2646
      @abualahadchowdhury2646 Před 2 měsíci

      @@EtDiscover Please check if that's possible and if so then please make a video on that as well 😊

  • @MichaelDiamond-fv4qh
    @MichaelDiamond-fv4qh Před 28 dny

    Circuit diagram please

  • @ragibhasan8099
    @ragibhasan8099 Před 2 měsíci +2

    I make this with my custom TX and RX but I want to make encoder and decoder because I want 5channel. Plz make encoder and decoder 😊

    • @sujangiri350
      @sujangiri350 Před 2 měsíci +3

      You can use ht12e and 12d pair

    • @ragibhasan8099
      @ragibhasan8099 Před 2 měsíci +1

      @@sujangiri350 but I want to make TX and RX modules at home. This is my goal to make it budget friendly. This is my a dream goal . But thanks for your suggest 👍

    • @ALEFILES
      @ALEFILES Před 2 měsíci +1

      ​@@sujangiri350Using the HT12d & HT12e pair is it possible to transmit in 27 MHZ, and achieve long distances? Thanks for this nice video!
      Greetings from Argentina!

    • @ragibhasan8099
      @ragibhasan8099 Před 2 měsíci +2

      Bro u can't believe that in my country Bangladesh HT12E and HT12D ic is 200 rupee and 3 arduino Nano and USB cable and with mounted PCB is 140 rupee. What a logic. 😅

    • @sujangiri350
      @sujangiri350 Před 2 měsíci

      @@ragibhasan8099 if Arduino is cheaper than ht12 pair then use Arduino as encoder and decoder i mean each for tx and rx. There will be multiple channels to play with

  • @CreativeHarshRaj
    @CreativeHarshRaj Před 2 měsíci

    Can you make a 108Mhz radio signal amplifier to transmit data to long distance

  • @hemantpradhan1548
    @hemantpradhan1548 Před 2 měsíci +1

    Please PDF connection diagram

  • @aadhikarianish
    @aadhikarianish Před 2 měsíci

    Can you make 2.4ghz long range rf remote pls?

    • @northernstar3956
      @northernstar3956 Před 2 měsíci

      you can use the nRF24L01+ with LNA and PA

    • @EtDiscover
      @EtDiscover  Před 2 měsíci

      Yes it is possible

    • @aadhikarianish
      @aadhikarianish Před měsícem

      @@EtDiscover Thanks for your reply. Would be great if you could achieve same range using NRF in 2.4gz as this frequency is globally accepted license free band.

  • @01Std
    @01Std Před měsícem +1

    Bro is it legal please reply 🙏

    • @EtDiscover
      @EtDiscover  Před měsícem

      27mhz legal , this is citizen Band for public

  • @gitturay3172
    @gitturay3172 Před 2 měsíci

    Dada Tumi bangali

    • @EtDiscover
      @EtDiscover  Před 2 měsíci

      Ha

    • @gitturay3172
      @gitturay3172 Před měsícem

      Dada tomar video khub bhalo Lage ami Central Calcutta polytechnic electronic and telecommunication er 2nd year er chatra dada thanks for this video

  • @AbcdeFgh-yp7ik
    @AbcdeFgh-yp7ik Před hodinou

    It was very nonsense, the plans were not known at all

  • @khimroy3958
    @khimroy3958 Před 2 měsíci

    Hi teacher 👽it is a good project 👍

  • @electrogasm
    @electrogasm Před 2 měsíci

    dada crystal oscillator diyeo to transmitter banano jbe

  • @addiction-69
    @addiction-69 Před 2 měsíci

    Why you do not use xtal instead of use variable inductor?? You can use 27mhz xtal here..🤔🤔

    • @EtDiscover
      @EtDiscover  Před 2 měsíci

      Why? There is a big reason for this! Okay, I will make a specific video for it

  • @electrogasm
    @electrogasm Před 2 měsíci

    dada amar request tao puron koro