Zynq Part 1: Vivado block diagram (no Verilog/VHDL necessary!)

Sdílet
Vložit
  • čas přidán 29. 08. 2024
  • Hi, I'm Stacey, and in this video I show the vivado side of a basic Zynq project with no VHDL/Verilog required.
    Not Sponsored, I just use this software a lot!
    Download vivado here: www.xilinx.com...
    Buy me a coffee to support my channel: www.buymeacoff...

Komentáře • 42

  • @brajitpaul5679
    @brajitpaul5679 Před 11 dny +2

    I absolutely love how involved you are throughout. Amazing.

  • @danclementi8454
    @danclementi8454 Před měsícem +6

    This material was presented as only someone who really understands what they are doing can. I was especially impressed at how Stacey anticipated virtually all of the questions I had. Great job!

  • @trevinvaughan5411
    @trevinvaughan5411 Před 11 dny +1

    Finally, a tutoral on Xilinix SoC's that makes sense.

  • @SergeyUstinovDih
    @SergeyUstinovDih Před 11 měsíci +11

    The most clear and detailed explanation I've seen for a long time.

  • @felipeferreira1960
    @felipeferreira1960 Před 6 měsíci +6

    Thank you for this class. What incredible and detailed teaching, besides being straight to the point. It's hard to find good content on this type of subject; I really hope you continue sharing this kind of knowledge that ends up helping many people. Hugs from Brazil.

  • @gauravtiwari605
    @gauravtiwari605 Před 3 měsíci +1

    This is the first video in which I really understood what the teacher is teaching...
    Thank you... Awsm video...

  • @premc5564
    @premc5564 Před 6 měsíci +2

    Really amazed to see how simple you made this topic for a beginner like me to understand. Thanks for the video. Looking forward to more such video tutorials!

  • @matthewbucknall8350
    @matthewbucknall8350 Před rokem +4

    Okay, this is weird - I was looking at Zynq SoCs only a couple of hours ago for a future project. I've used Vivado a fair amount when working with Artix-7s but never Vitis, so I look forward to part 2. Thank-you for your video.

  • @sammyiyi7136
    @sammyiyi7136 Před 3 měsíci +2

    Stacey, you are a legend!

  • @nikolaykostishen6402
    @nikolaykostishen6402 Před rokem +4

    Thanks for the nice video tutorial. Waiting for the next part.

  • @Ajay-bk4xh
    @Ajay-bk4xh Před měsícem +1

    Thank you, very helpful.

  • @abdullahalnafisah5159
    @abdullahalnafisah5159 Před 3 měsíci +1

    Many thanks for your efforts Stacey. Your videos are very useful and we really appreciate your time.

  • @nmidu
    @nmidu Před 6 měsíci +1

    This is the channel what i need! Thanks for share this content. Greetings from Argentina

  • @CSFitness1
    @CSFitness1 Před rokem +3

    Great video, always liked Vivado more than Quartus, much easier to learn

  • @ChuffingNorah
    @ChuffingNorah Před rokem +2

    Excellent Vid & Explanation of this complicated Software & Project. Keep up the good work Stacey! 😁I've just bought a Zybo Z7-10 purely on the strentgh of this Vid!

  • @markganus1085
    @markganus1085 Před měsícem +1

    a stacey plus vivado. i like it

  • @dulminedirisinghe5646
    @dulminedirisinghe5646 Před 2 měsíci +1

    well done!

  • @Epithom
    @Epithom Před 2 měsíci +1

    Great video 👍👍👍

  • @ideajin20sh
    @ideajin20sh Před 9 měsíci +1

    very clear and easy to understand, thanks!

  • @clearlake2112
    @clearlake2112 Před rokem +1

    Great to see your new post Katy.

  • @allfocuses
    @allfocuses Před 10 měsíci +1

    Thank you for the series.
    Could you please make a video on some applications such as connecting camera, servo, dc motors, some sensors and etc?

  • @gogozxy5333
    @gogozxy5333 Před 2 měsíci

    Thank you for such a great video!

  • @giorgiop509
    @giorgiop509 Před rokem +1

    Useful example, thank you

  • @angelg3986
    @angelg3986 Před 6 měsíci +1

    I guess, those of us who don't have Zynq can use a microblaze for "processing system". I train on a board with a xcku15p-ffve1517. IMHO, the GPIO even doesn't need 4k range - 128 bytes looks sufficient. Are there considerations to put it bigger (like faster address decode, etc) ?

  • @Tiget2612
    @Tiget2612 Před rokem +3

    Great video! I've been struggling a lot with programming FPGAs for my master thesis and your videos have by far been of the greatest help.
    One question about the peripherals: the way I understand the block diagram now is that the AXI interconnect is connected to both the LEDs and switches in this case, not the other way around. So, in this case, can the switches be used in this case to provide input back through the interconnect to the PS to make the LEDs turn on for example? Basically, is the master and slave AXI connection a two way street?

    • @FPGAsforBeginners
      @FPGAsforBeginners  Před rokem +2

      Yup, precisely! Actually in the Vitis video, I'll show how I connect the switches to the LEDs to do just that.

    • @kramer3d
      @kramer3d Před rokem

      The question you asked has 2 components…
      #1 as stacey replied to already… yes you can trigger interrupts on gpio input change to notify the zynq processor
      BUT YOU ALSO ASKED “is axi a two way street” which is an entirely different question…
      #2 Is axi a 2 way street? No… only the master can issue read write requests from peripherals!

  • @pabdavinchi
    @pabdavinchi Před 3 měsíci +1

    Thanks so much!

  • @benq3605
    @benq3605 Před 7 měsíci +1

    Thank you very much Stacey for this nice tutorial. I followed your 2 parts using Vivado 2023.2, but after using the exported XSA file in Vitis, there is no driver for block ram, I am able to use GPIO but the platform created has no driver for xbram. Either Vivado 2023.2 does not include it in the XSA file, or the Vitis software has a bug and can't see it in XSA file. I would like some advice on this

  • @asidesigner8542
    @asidesigner8542 Před 11 měsíci +1

    thanks for sharing!

  • @mikehibbett3301
    @mikehibbett3301 Před rokem +1

    Thank you!

  • @ranjeetkumar2709
    @ranjeetkumar2709 Před 9 měsíci

    great explanation. i am from india and i really enjoy your tutotial for block design but what we basically trying to do here ? turning on or off led through zunq processor or something else ?

  • @haqnawaz4668
    @haqnawaz4668 Před 5 měsíci

    Hi Stacey, can you please briefly explain the pin connection of FPGA board with laptop. I have USB blaster with JTAG. thanks

  • @edkemp6287
    @edkemp6287 Před 2 dny

    afrikaans is my favorite accent

  • @orzamarius3537
    @orzamarius3537 Před 21 dnem

    You connect your peripherals to the interconnect_aresetn, when the Processor System Reset has a dedicated output called peripheral_aresetn. Is this going to be a problem? The instinct tells us that there are differences between these two resets generated by the reset IP. What can you tell us about that? Thanks!

    • @FPGAsforBeginners
      @FPGAsforBeginners  Před 11 dny

      There is a slight delay, as far as I know, between the peripheral reset and the interconnect reset. So that the interconnects can come out of reset first. However, unless your peripheral specifically needs this reset timing, it doesn't really matter in practice which is connected.

  • @agpan7854
    @agpan7854 Před 2 měsíci

    When do we use Constraint files?

  • @bju295
    @bju295 Před rokem

    Can you explain the mceliece cryptography system on vivado

  • @unixux
    @unixux Před 4 měsíci +1

    Omg where were you hiding till now