Can You Do 7nm Chips Without EUV?

Sdílet
Vložit
  • čas přidán 8. 06. 2024
  • An Extreme Ultraviolet lithography machine costs $150 million. That’s a lot of change. And it makes you wonder.
    Is having EUV really that big of a deal? You might have heard about this or that company managing to "achieve 7 nanometers" without the use of EUV. What should we make of that? Can we do 7nm chips without EUV?
    The answer is yes, but you won't want to. And they probably won’t work as well. In this video, we are going to look at why we so badly need EUV to make the next generation of semiconductors. And what companies have to go through without it.
    5:30 - I misspoke. Meant to say 3-D gate rather than 3 gate.
    Links:
    - The Asianometry Newsletter: asianometry.com
    - Patreon: / asianometry
    - The Podcast: anchor.fm/asianometry
    - Twitter: / asianometry

Komentáře • 520

  • @Asianometry
    @Asianometry  Před 2 lety +294

    Stay safe, everyone

  • @TrevorsMailbox
    @TrevorsMailbox Před 2 lety +407

    Your channel goes so far above and beyond in detail compared to others. You kill it bro, thanks for what you do.

    • @Fish-ub3wn
      @Fish-ub3wn Před 2 lety +6

      bump

    • @GewelReal
      @GewelReal Před 2 lety +2

      He kills the spellings of words as well

    • @mohannair5671
      @mohannair5671 Před rokem +1

      Information supplied by insiders?

    • @mohannair5671
      @mohannair5671 Před rokem

      @@GewelReal that marks originality!!!

    • @V4mpyrZ
      @V4mpyrZ Před rokem +2

      Agreed, this channel is legendary. So much insight on what the industry's doing, and still explained clearly (or as much as it can be on those topics)
      Thanks for your work!

  • @TechAltar
    @TechAltar Před 2 lety +278

    Fantastic video, love the extra humor sprinkled in!

    • @Usrthsbcufeh
      @Usrthsbcufeh Před 2 lety +8

      omg you’re here

    • @rem9882
      @rem9882 Před 2 lety +9

      About time you've discovered this guy. Hes great

    • @Struckgold
      @Struckgold Před 2 lety +2

      I larfed a couple of times.

    • @muhammadyusoffjamaluddin
      @muhammadyusoffjamaluddin Před 2 lety +2

      You new here? Watch his videos about "DRAM", and you will get XD

    • @techmad8204
      @techmad8204 Před 2 lety +3

      @@rem9882 he did long ago he even gave a shout out in one video

  • @RabbitEarsCh
    @RabbitEarsCh Před 2 lety +180

    I went to a candid talk by someone from Intel back in 2012 and she talked very clearly about the challenges of going into EUV and the impossibility of it with the kind of lasers you need to *actually* get that feature size. I was surprised to find out over the years to see these headlines claiming that companies hit 14nm, 10nm, etc., when I know from my chemistry background just how difficult it is to get that kind of half-width level.
    I'm surprised how difficult it is to learn the truth about what they're actually doing on the etching level without an explanation like this. Thank you for shaking me out of the stupor of years of marketing to see the truth that chip designers have been "cheating the barrier" to keep up with feature density growth.
    This also explains why yields for everything, from PS5s to the M1s, are so terrible these days...

    • @graficeb3484
      @graficeb3484 Před 2 lety +13

      Aren't those(M1 and PS5) made with EUV now and so should have fine yeilds?

    • @worldtownfc
      @worldtownfc Před 2 lety +28

      @@graficeb3484 EUV reduces the layers required vs. DUV-only lithography, so theoretically, EUV is easier. Playstation V's SoC (TSMC 7nm - N7p or N7+) is sizable 308-square mm die. Apple's M1 is on TSMC N5, which has better yields vs. N7.
      It takes a while to perfect the process node. Newer processes will have worse yields. Due to production issues, TSMC had to delay its N3 node, which was supposed to be ready by mid-2022 for Apple A16 Bionic for iPhone 14. Also, Samsung is facing delays to their 3nm GAA node. The shrinking nodes are getting harder and harder to launch on time for commercial production.
      If the chip is smaller (less defects per square millimeter), the yields are better, which is why AMD's CCX dies are primarily cores and cache. It was rumored that AMD's Zen II CCX dies had 70% yield on TSMC's 7nm process when production started. With time, TSMC figures out how to reduce defects and implements the fixes. Allegedly right now, TSMC's 7nm class nodes have statistically perfect yields. TSMC has a reputation of being the fastest to improve yields versus Samsung.

    • @thecraggrat
      @thecraggrat Před 2 lety +15

      To get where you need to be with non EUV lithography means that you have to use "tricks" to shrink down the linewidth. What this functionally means at the 10nm± sizing is that larger lines are printed over various films, these are then etched to give a line that is your starting point.
      Next you use the fact that you can deposit very thin films very accurately to deposit a thin film over the line (#1) that you then etch to leave a spacer (#1) which is narrower than the line you can print.
      You can then remove the original etched line material, but leave the spacer; you then use this spacer (#1) as the mask for etching another lower film to produce another line (#2), and repeat the spacer dep/etch/remove line(#2) process.
      Finally you etch the last layer of material to produce you final desired lines.
      This is "quad patterning" and it allow you to reduce the size of your lines, because you use the spacers as the mask for the etching, the size of these is not limited by optics. You also reduce the pitch of your lines (ie distance between lines) because you have the spacer on each side of the line you use to make the spacers, again this "gets round" the optical limits of UV print.
      The downside of this is increased process complexity, restrictions in patterning, additional processes to "cut" lines as printing line ends increases issues. Multiple layers to be deposited/etched. This all adds up to process time, complexity, and defects, because more steps means more defects, and hence lower yield.
      If you have EUV, you can do a print/etch without playing tricks which is so much faster....and saves defects.
      look at this www.monolithic3d.com/blog/the-quad-patterning-era-begins for a visualisation of the above. Note: this is a simplified view...

    • @thecraggrat
      @thecraggrat Před 2 lety +4

      @@worldtownfc If a die is smaller then yield is better for a given defect density as p(defect in die area) is lower. If defect density is lowered you have the same impact, but from the opposite direction. You can also increase yields if you have built in redundancy to the design, such that you can "replace" an area with a defect with the equivalent circuitry in another area - this makes the die bigger, but it can improve yield more than reduced # of die on the wafer & hence reduce costs.
      If you make your die on optimised processes for each function, as AMD has done, then you increase die yield due to smaller areas - you can tolerate a defect density more than larger die. Also the variation across a die will be smaller, as it takes up less of the print field, which may improve die yield if timing skews are critical or bin splits. It also allows better matching between speeds/voltage requirements of the chiplets.
      BTW, unless they have changed, TSMC do not give a monkey's about your yield (they sell you wafers that meet certain criteria, not yield), as long as they meet the defect density they say they will and the electrical results they say they will. If your device has sensitivities to the process it is usually up to you to sort out your design, not for TSMC to tweak the process.

    • @psd993
      @psd993 Před 2 lety +6

      it's funny to think about the time when they were underpromising and over delivering, back when they first broke from the convention of "process node" = "actual gate length". In 1997, intel's 250nm process had a gate length of 200nm. later on it got "worse" with a 135nm process that had an actual gate length of 70nm. Now it's swung the other way. their 10nm process has 18nm gate length. From an almost 2x buffer to an almost 2x deficit.

  • @robertcormia7970
    @robertcormia7970 Před rokem +18

    This was really well done, as an instructor, I especially appreciate his humbleness to attempt to explain things he doesn't fully understand, and to do it in a way that inspires curiosity without superimposing arrogance. The description of the fuzziness around node technology is especially useful in deciphering both the history and evolution of this very complex intersection of technologies.

  • @dexterm2003
    @dexterm2003 Před 2 lety +18

    The big reason that Intel struggled so much with the N10 and N7 nodes was etch yields. Since they had to do the crazy multipatterning etch defects would creep in and yield plummeted. Their etch vendors had to advance their tech to achieve acceptable yields. Once they did they have been ramping production to meet the backlog of orders ever since. And yes I am in the industry so I know this to be true.

  •  Před 2 lety +25

    That was a hell of a explanation man, I'm really happy that I've found your Channel. Keep up the exelent work.

  • @davidgunther8428
    @davidgunther8428 Před 2 lety +6

    I love the research and pictures you put into this to explain it. I used to have occasional magazine articles with this type of info to read. Those aren't really around anymore. This is great quality.

  • @carldombrowski8719
    @carldombrowski8719 Před 2 lety +6

    Very informative yet understandable. A new gold standard for CZcams videos. Highly interesting to see some of what's going on in chip technology. I always wondered why current chip designs looked much neater than older designs. Now I know.

  • @CRneu
    @CRneu Před 2 lety +36

    lmao I work in Lithography. The terminology is absurd. It makes more sense when you realize the scope/scale of the fabs and how everything has to be organized, but yeah it's funny.

    • @MarkWTK
      @MarkWTK Před 2 lety

      I guess you must have a PhD? what process are you involved in, if I may ask.

    • @CRneu
      @CRneu Před 2 lety +3

      @@MarkWTK no PhD. I work in a handful of processes including EUV. Right now I work on the metrology side of lithography..

    • @CRneu
      @CRneu Před 2 lety +4

      @@MarkWTK for clarity, I work with 1272 to 1278 process within intel.

    • @mealien0808
      @mealien0808 Před 2 lety

      @@CRneu get read for the CCP'S attack.

  • @V3RM1LI0N
    @V3RM1LI0N Před 2 lety +5

    Do a video about KLA TENCOR , the leaders in metrology

  • @bernanbondoc833
    @bernanbondoc833 Před 18 dny

    I used to work in a semicon mfg and assigned at backend- moulding and dtfs area. I was not not able to take a peek at frontline on how dies are dice and wire bonded.
    Reading and viewing your vlog about process how the billion of transistors are made in a wafer and the technology involved in doing this really amaze me.

  • @matthewmans3984
    @matthewmans3984 Před 2 lety +17

    With every view, you’re honest to God teaching one more additional industry professional an insane amount of information about the industry they’re actively in. You’re likely doing more for the industry than you think. 🥰

  • @roboticsforfun5000
    @roboticsforfun5000 Před 2 lety +28

    Being one who work in this field, I commend you on this video! Awesome job.... but you pronounced Calibre in a strange way.... I teach Calibre applications for a living, so I kinda got hung up on that.

    • @gorak9000
      @gorak9000 Před 2 lety

      Heh, glad I wasn't the only one that noticed that - calibre => "cal-ih-ber", like "caliber"

    • @dijoxx
      @dijoxx Před 6 měsíci

      @@gorak9000 It's the British spelling of the same word.

  • @CSFAV
    @CSFAV Před 2 lety +3

    I didn't know anything about semiconductor technology until I found this channel. And you have tought me so much!!!
    This episode is frying my brain a little. I'm still processing the info, after watching a few times 😁😁😁

  • @joshjones3408
    @joshjones3408 Před 6 měsíci +1

    I don't even own a computer but Iv watched just about all asianometrys videos his a very good teacher

  • @falconsaviour1487
    @falconsaviour1487 Před 2 lety

    You are absolutely the best source on chip technology in CZcams. More like chipometry asianometry. Glad I found your channel long time ago.

  • @WayneBorean
    @WayneBorean Před 2 lety +3

    Thanks very much. You’ve provided much needed clarity on a complex subject.

  • @PlanetFrosty
    @PlanetFrosty Před 2 lety +1

    Really, great work! Very good explanation and working through complexities, and vagaries.

  • @explicacaoonline
    @explicacaoonline Před 11 měsíci +1

    Amazing content, congratulations and thank you for the time spent to do it!

  • @PBnFlash
    @PBnFlash Před 2 lety +111

    Who watches 10 minutes of digestible lithography content without being a computer design nerd?

    • @OgbondSandvol
      @OgbondSandvol Před 2 lety +40

      Tech enthusiasts. Someone who is interested in knowing more about the most advanced and magic device ever made by humans.

    • @PBnFlash
      @PBnFlash Před 2 lety +15

      @@OgbondSandvol sounds like something a computer design nerd would say

    • @edopronk1303
      @edopronk1303 Před 2 lety +12

      Eurythmy (dance) teacher here. I haven't a clue what I need this lithography knowledge for, still watched it.

    • @OgbondSandvol
      @OgbondSandvol Před 2 lety +4

      @Señor Taco I have a few ASML stocks, indeed.

    • @Bolmer1
      @Bolmer1 Před 2 lety +4

      Industrial Financial Engineer Here(Industrial engineering
      ) , I just love Tech.

  • @cryzz0n
    @cryzz0n Před 2 lety +14

    Great video. I never knew how Intel tried these quad-patterning methods or more to compete with EUV. In hindsight, they were crazy to brute force this with more patterning.

  • @yunus2626
    @yunus2626 Před 2 lety +3

    So many insights in a single video, love this channel

  • @perldition
    @perldition Před 2 lety +2

    I appreciate you staying consistent for your pronunciations of ARF and DRAM.

  • @scottdol2099
    @scottdol2099 Před 2 lety +1

    Great as usual, always look forward to your work
    love your sense of humour :)
    many thanks

  • @DEtchells
    @DEtchells Před 2 lety +1

    Another absolutely fantastic video: You’re one of the few channels where I watch every video from start to finish, as soon as I see them :-)
    Holy moley, though: *Hexa” patterning? That must have had yield ~~0 😮
    I was confused in this video by the illustration of the “spacer” layer. I was expecting the etching to happen where the spacer layer *wasn’t”, but it instead seemed to occur where it was present. Did I misunderstand or was that a graphical typo?
    Thanks as always for the fantastic content; I can’t imagine how you find time in the day just to research and read the source material, let alone record and edit the videos themselves! 🤯

  • @davechapman6609
    @davechapman6609 Před 2 lety +4

    I am tired of hearing about "7 nanometer", too.
    Keep up the good work!

  • @CaseTheCorvetteMan
    @CaseTheCorvetteMan Před 3 měsíci

    This is one channel i love for the content, but also for the extremely brief patreon plug, blink and you'll miss it, and that suits me just fine!! He says what he needs to in order to make us aware, and nothing more.
    Perfect, just perfect.

  • @rem9882
    @rem9882 Před 2 lety

    Great video like all of them you produce. Cant wait to see the videos on the Tech startups

  • @even7horizon413
    @even7horizon413 Před 2 lety +1

    thank you for all your research as always.

  • @Cloveroverandover
    @Cloveroverandover Před 2 lety +11

    Terrific video as usual. I always wondered why further immersion wasn’t considered, there are certainly higher n materials than those liquids predominantly used in immersion. Anyway it’s moot now since EUV saved the day.

    • @thecraggrat
      @thecraggrat Před 2 lety +1

      All materials have to be compatible with the other materials that are being used within the process and not add extra complexity or have problems with purity etc...

  • @valopf7866
    @valopf7866 Před 2 lety

    Thanks for another fascinating and informative video! Chip engineering is really one of the most amazing tech-fields today.

  • @zenki4666
    @zenki4666 Před 2 lety

    The best channel ever in CZcams to get yourself educated in semiconductor industry and anything related to high techs 🔥🔥👌.. insanely detailed information 🤯.. It's free MIT level courses🤩.. Never missed a video..always waiting for more.. Thanks for the the extremely good work 😚😚

  • @stefanvoykov1115
    @stefanvoykov1115 Před 2 lety

    Amazing content about semiconductors. Keep up the good work.

  • @handlemonium
    @handlemonium Před 2 lety +4

    Sorry for dubbing you "the Caspian Report of Asia" in a past video.
    In reality Caspian Report is to geopolitics as you are to technology. Where the both of you intersect is economics. You guys rock! 🤙👌

    • @chromatron5230
      @chromatron5230 Před 2 lety

      Caspian report is actually pretty surface level if u go deep into it
      Like the lack of nuance as was said in this video

    • @handlemonium
      @handlemonium Před 2 lety

      @@chromatron5230 I mean he does compile well-produced summaries.
      I also watch Johnny Harris since he really goes to the source, Good Times Bad Times for a more story-like timeline of events, and Armchair Historian who will almost definitely do a couple on the current Ukraine vs. Putin war once peace is brokered and the dust settles.

  • @rollinwithunclepete824

    Entertaining and Informative, John (Jon?)! Enjoyable too... and the droll humor is just a plus

  • @sandersassen
    @sandersassen Před 2 lety

    Jon, you cheeky fella, you had me cracking up a couple of times with your remarks, it makes this video all the more worthwhile to watch, aside from the info and insights you provide.

  • @legiran9564
    @legiran9564 Před 2 lety +8

    This is like listening to a male version of Mandy giving a lecture on chip manufacturing. (The Grim Adventures of Billy & Mandy)

  • @OperationXX1
    @OperationXX1 Před 2 lety +86

    The only company that was able to develop a so-called "7nm" node without EUV has been Intel (Previously called Intel "10nm", now called "Intel 7"). You might be tempted to think that this is a great achievement for Intel, however it's actually their biggest blunder. Intel's decision to attempt developing Intel 7 without EUV by heavily relying on aggressive multi-patterning was the main reason they fell behind TSMC by 5-6 years (They were previously 2-3 years ahead of TSMC but now they are 3 years behind).

    • @Arkan_Fadhila
      @Arkan_Fadhila Před 2 lety +15

      I agree with you. Intel 10nm era is such a disaster for intel. 10nm nodes from intel will never get intel back to the leadership despite intel released 3 revision to this node (10nm+ with ice lake, 10SF with tiger lake, and intel 7 with alder lake). But i still admire their enermous hardwork to make it work.

    • @thecraggrat
      @thecraggrat Před 2 lety +16

      You are correct that Intel messed up on the transition to EUV, they held the orders for the first tools, but gave them up (which were then picked up by TSMC). I'd say the real issue here isn't size, rather EUV makes it easier to do more complicated gate designs (think GAA). WRT Intel yield problems at 10nm, vs AMD, I think that AMD were very smart to move to the chiplet approach, this allowed for better yields on poorer defect densities + using appropriate processes for different chiplets. (They got to have their cake and eat it). Intel continued with monlithic chips, which yield lower because of area.
      Hopefully Intel does have a big order in for EUV systems, they need it, even if they order more than initially seems required, they could back fill "larger node" fabs with streamlined process flow to make yields better and gain experience on EUV, together with priming them for smaller node transition, depends on rate of tool delivery vs orders and speed of new fab ramps.
      Not sure who gave up the EUV order, maybe Otellini, maybe Kryzanic, I do believe that they missed out on the growth of the foundries - Intel was it a good position to use their older process nodes and fabs as foundaries, that would bring in extra revenue, but more importantly starve the other foundries of some income. They started on it, but very half heartedly, this also saw a major growth and transition of IC production east to Taiwan etc.
      I am optimistic with Gelsinger at the helm. He is of the same cloth as Grove, Barrett and Moore, though probably more like Grove. I am hopeful that he will also grow a foundry business too.

    • @jacobvehonsky9130
      @jacobvehonsky9130 Před 2 lety +7

      One thing I would consider here is that because of the DUV hardships you mention, Intel has a huge pipeline of innovation outside of the litho process specifically to make sure the pluses always actually were pluses. Meaning that when the DUV choke point is gone, Intel has an astounding amount of R&D that will separate itself from the pack. When EUV tools essentially are barriers for entry, they also become the floor of achievement for each foundry. The other innovations will decide the winners at that point. Will be interesting to see how much of Intels R&D portfolio is awoken when EUV nodes are fully the norm.

    • @jakejakedowntwo6613
      @jakejakedowntwo6613 Před 2 lety +8

      @@thecraggrat Intel had pretty big blunders due to terrible leadership, they were way too focused on finances than on the RND side of the company. There was a massive layoff of smart people "brain drain" which caused the decline of intel. Gelsinger currently rehired those retired people, so it might get better.

    • @TheGuruStud
      @TheGuruStud Před 2 lety

      @@jakejakedowntwo6613 Ask yourself where intel 7nm is? They don't even hype it anymore, b/c it's so far away from actual production. It's another 10nm failure. Inside sources have been saying this for a long time, too. Intel is slowly admitting it. Their latest xeon roadmap (which we all know every intel roadmap that goes out longer than 6 mo is a lie), show 7nm for end of 2024 LMAO. Expect the lies to continue till you get nearer and that slips even further.

  • @depth386
    @depth386 Před 2 lety +13

    I saw a compelling presentation once called “28nm forever” basically it made the case that the most cost efficient cheapest chips to produce would be 28nm (or let’s stretch to 22?) for a long long time if not perhaps eternity.

    • @_TeXoN_
      @_TeXoN_ Před 2 lety

      Not only is 28nm cost effective in production. It is basically also the end of power and voltage scaling. After that there are more transistors per area, but the transistors use the same power, so the ocerall power per area inceeases.

    • @depth386
      @depth386 Před 2 lety +1

      @@_TeXoN_ I don’t think I agree with you there, otherwise the R5 5600X would not be 65W for it’s performance. I’m not calling you out, I suspect there was some real academia saying this but my gut tells me it can’t be true judging by efficiency gains (per unit of performance or per # of transistors) that we have seen empirically.

    • @_TeXoN_
      @_TeXoN_ Před 2 lety +3

      @@depth386 Just read about Dennard Scaling. It is the physical equivalent to Moore's Law, but failed around 2005.
      Everything below 28nm is also not a real measurement, but marketing names.

    • @depth386
      @depth386 Před 2 lety

      @@_TeXoN_ Okay I will look into that, and I do agree that “#nm” has become BS, Der8auer showed a 9900K and some Ryzen 3000 ground down to expose to die under an electron microscope, they were almost the same despite “14+++ vs 7”.
      However, I am left with one more good question. Could you please explain to me, how are they packing more and more transistors? Transistor counts for both GPUs and CPUs are still going up by large percentages comparing one generation to the next. RTX 4000 series graphics cards are rumored to have 3x the 3000 series for instance. A little bit might be die size (and the recent trend of upward creep in power consumption) but there is still much progress in how many transistors they’re etching.

    • @depth386
      @depth386 Před 2 lety

      @@_TeXoN_ by the way I just read the wikipedia article on Dennard scaling. It’s not super in depth but it did give me an “aha, so that’s why i noticed going from Pentium 4 2.6Ghz to only 3.06Ghz i7-950 was like.. wtf? There were IPC gains and multi core gains but still, I was used to specs going up like 80386 33Mhz Pentium 1 133 Mhz Pentium 2 350Mhz Pentium 3 1Ghz Pentium 4 2-3Ghz it was tripling even without IPC advancements in the good old days

  • @bebiaBu
    @bebiaBu Před 2 lety

    These vids really are excellent. As easy as reasonable to understand

  • @creampienz
    @creampienz Před 9 měsíci +2

    Man I cannot find the right words to express my gratitude and salute to you. This video, as well as many others in the channel, provides a remarkable lot of knowledge to us. You deserve much better attention in CZcams in my honest opinion.

  • @minus3dbintheteens60
    @minus3dbintheteens60 Před 2 lety

    Embarrassed myself the way I just whinged when the vid ended. Too good my man, bravo

  • @user-cx2bk6pm2f
    @user-cx2bk6pm2f Před 2 lety +2

    Big thumbs up for the detailed explanation. Particularly relevant to the AMD versus Intel battle right now.

  • @MikeJohn-tb1yp
    @MikeJohn-tb1yp Před 8 měsíci

    The single greatest channel. That youtube every had for us. This young man is amazing.

  • @nicholaselliott2484
    @nicholaselliott2484 Před 2 lety

    Amazing as usual. High quality content of the most high tech technology.

  • @soothsayer2406
    @soothsayer2406 Před 9 měsíci +3

    Can you update this video in light of the MATE 60 pro which most likely uses this older DUV based method?

  • @Kneedragon1962
    @Kneedragon1962 Před 2 lety

    Excellent clip! Very well done.
    "Seven inches is not the same in one place as it is in another." I must remember that, it sounds important ....

  • @drewwollin3462
    @drewwollin3462 Před 2 lety +30

    Very good as always. The video finally explained to me why Intel struggled for so long with N10. Amazing technology that advances so quickly, albeit with some steps and ramps.
    I had read that China has some new technology that bypasses the need for ELV but I have not seen any details.

    • @sooocheesy
      @sooocheesy Před 2 lety +16

      that's because it doesn't exist

    • @wpgc2
      @wpgc2 Před 2 lety +5

      this video kind of talks about that, it is possible but not practical. However China can probably get away without EUV for a while for most applications. I bet ASML and others are trying to come up with solutions that don't incorporate US IP too as US already talks about putting DUV on the list.

    • @thecraggrat
      @thecraggrat Před 2 lety +1

      @@wpgc2 DUV is so old now that it is neither here nor there...Nikon sold DUV scanners ~20+ years ago.

  • @tombittikoffer412
    @tombittikoffer412 Před 2 lety +1

    Nice, Question kinda related... Is there a way to find Vector files of the litho masks? Not necessarily functional, like die shots but vector....?

  • @CyrusTabery
    @CyrusTabery Před 2 lety

    Shrink 👏 is 👏 always 👏 overlay. Multi patterning is a pain for eda but the advancement in overlay control is the key enabler of multiple patterning and shrinking gate pitch over all. I think your subscribers may want to see the actual gate pitch of each node, in nm! N5 is 51nm for example.

  • @RangKlos
    @RangKlos Před 2 lety

    now the picture is crystal clear!

  • @MarsMan2482
    @MarsMan2482 Před rokem

    Thanks for the great videos

  • @paulsalele3844
    @paulsalele3844 Před 2 lety

    Learning so much from this channel but this stuff is way over my head. LOL

  • @accessiblenow
    @accessiblenow Před 2 lety

    Great content. Thks.

  • @hgbugalou
    @hgbugalou Před 2 lety +1

    Your tech memes are on point.

  • @Galomortalbr
    @Galomortalbr Před 5 měsíci

    this video is incredibly interesting and formative

  • @dercooney
    @dercooney Před 2 lety +5

    dishonest marketing is just redundant

  • @yalbmert99
    @yalbmert99 Před rokem +10

    Smic has reached 7nm

  • @johnnychang4233
    @johnnychang4233 Před 2 lety +11

    Is photo-lithography without EUV the equivalent of multiple shingle writes passes on hard disks plate to achieve higher pitch density?

    • @musaran2
      @musaran2 Před 2 lety +3

      No. HD single passes just overwrite part of the previous pass, leaving a small still readable strip.
      Multi-pattern photo-lithography writes several partial non-overlaping prints, composing a full "recording".

  • @donh8833
    @donh8833 Před rokem

    Excellent article and presented in layman's terms.

  • @pigup2
    @pigup2 Před 2 lety +1

    Great work

  • @hlim431
    @hlim431 Před 8 měsíci

    WOW NP-completeness etc... haven't heard this for a while!

  • @matthiasvanderaa4870
    @matthiasvanderaa4870 Před 2 lety

    great video, and meme game is on point!

  • @NeoShameMan
    @NeoShameMan Před 2 lety

    It's funny I was investigating the exact same thing before you made that video.

  • @jakenguyen7463
    @jakenguyen7463 Před 2 lety

    With how unbelievably complex and interesting computer science is, primary schools need to be doing a lot more than just teaching code. Code isn't for everyone. However, insight into how math and science is applied into engineering these vastly important chips seems fundamentally important going forward.

  • @cow_tools_
    @cow_tools_ Před 2 lety

    That's very interesting!

  • @capitanbuzz
    @capitanbuzz Před 8 měsíci +1

    Thanks!

  • @tsclly2377
    @tsclly2377 Před 2 lety +1

    Very good overview of the processes and thanks for the references,
    but seriously there also has been a industry wide move to over feature products, those that provide relatively simple processes that have chip-sets running them that are dangerously powerful and sometimes not so stable. The older fab methods, if the design is optimized could run with 90-65mn chips using newer gate 'substances' (at slower speeds, as we are seeing with many newer chip designs) and that the big manufacturers should have retained the older type fab equipment in offshoot companies (thus retaining more control over licensing).. The present chip shortage is thusly a product of opulence & control over actual need. As a caveat, I run a laptop (AMD 7nm) that inexplicably can glitch during sunspot flare arrival, so I am not a big fan of these small gate sizes for all applications and I think that Intel thinks the same way (my cellphone is only a bit better, and has done so also)..

  • @adonisds
    @adonisds Před 2 lety

    I once saw an image comparing the mask counts of a theoretical 5nm node without EUV to the N5 we got. Would that node be possible? Would something like self aligned octuple patterning be possible?

    • @thecraggrat
      @thecraggrat Před 2 lety +1

      Lots of things are possible...but whether it is feasible to use for a production process is another matter.
      I etched 20nm gates on straight polysilicon from printed features @~70-75nm to look at various things that we would have to understand as the process nodes advanced. This was on planar transistors and was back in the mid 90's. We had functional 20nm transistors and we learnt lots of stuff that was very useful for process development of larger transistors, but no way was this a process that could be used to make an IC.

  • @Dorothyinstead
    @Dorothyinstead Před rokem

    Thank you Asianometry. Having read and seen some other videos claiming to have achieved cutting edge manufacture of chips, comparing their information with yours makes it most clear that their claims are mere propaganda.

  • @FilmFactry
    @FilmFactry Před 2 lety +2

    I love how geeky these videos are.:-)

  • @chubbymoth5810
    @chubbymoth5810 Před 2 lety

    Thank you so much for explaining this! This bit of the story I never really got my head around, but it explains so many developments over the past decade in ITC. An eye opener for me.

  • @nightrider1560
    @nightrider1560 Před rokem

    Fabulous content and video!
    I would like to nitpick on one point though. At time stamp 9:01, the video shows the coloring of the verities of a quadrangle. It claims that the number of distinct pattens stands at 18. It should be 12. The second row duplicates the first row.

  • @musafawundu6718
    @musafawundu6718 Před 2 lety

    What about EUV lithography via steady state microbunching using sychrontrons to produce EUV photons? Could you please make a video on that...

  • @christerwiberg1
    @christerwiberg1 Před 2 lety

    Feels like quite soon, we will plateau the performance, or at least we will slow down the increase in performance. Will be interesting then how that will affect the power consumption, when the compute power still increases.

  • @Erik-rp1hi
    @Erik-rp1hi Před 2 lety +1

    The mechanical side of lacing those patterns together is pretty incredible. Flying back and forth after the wafer has been out of the machine to etch away stuff and then back for another round 24/7. What do they use? Linear bearings with linear drive motors and magnetic encoders?

    • @FlyingPlastic356
      @FlyingPlastic356 Před 2 lety

      AFAIK, other than magnetic encoders (they use very high resolution optical encoders in semiconductor applications), yes they are. Tons of them, in fact.

    • @thecraggrat
      @thecraggrat Před 2 lety

      ??? Not sure what you mean here "lacing the patterns together"...Etching takes place in a either a wet process in acid etc. or a "dry" process in a vacuum chamber that is used to produce a reactive plasma to remove the films that are not masked by the resist from the litho print.
      Etch is a global process, all the wafer is etched at once.
      Making ICs is a layer by layer process, either adding materials to be subsequently patterned and etched, or global etches with no pattern where topography determines the remaining material etc. etc.

    • @redare7
      @redare7 Před 2 lety +1

      I am pretty sure they use air bearing stages. We were able to do 5 nm locations in 2010 with air bearing stages and interferometers to locate. This was for imaging for DNA sequencing.

    • @thecraggrat
      @thecraggrat Před 2 lety

      @@redare7 A quick check shows ASML use magnetic bearings. Air bearings have been used previously in some older systems though, so you aren't that wrong.

  • @GoldSrc_
    @GoldSrc_ Před 2 lety

    I knew this was complex, but holy crap I didn't think it would get this complex.

  • @jcjko5504
    @jcjko5504 Před 2 lety

    Excellent. I like your video even I don't understand much of what you talking about :(...........:)))

  • @HTeo-og1lg
    @HTeo-og1lg Před 2 lety +2

    Never say never!
    I learnt that adage the hard way 7 years ago, whilst doing my PhD research.
    It is a long story that is not relevant to EUV or even chip production, so I won't waste your time to belabor the adage: "Never say never".

  • @gazzamildog6732
    @gazzamildog6732 Před 2 lety

    Good vid man, also it's calibre is pronounced "caliber" isn't it?

  • @fugehdehyou
    @fugehdehyou Před 2 lety

    11k away bro let’s gooooo

  • @Imagineering100
    @Imagineering100 Před 2 lety

    I have looked at a lot of your videos on this subject and it is amazing stuff . It makes me feel puny and insignificant .

  • @alfredkwok9239
    @alfredkwok9239 Před 2 lety +1

    This is really very complicated Manufacturing process and it is a very hard and tedious process. And I would comment that for triple patterning or more, only the Asian workers could handle the tough environment.

  • @NeoShameMan
    @NeoShameMan Před 2 lety

    What about nano impress and other alternative to uv lithography like electron etching?

  • @Cooe.
    @Cooe. Před rokem

    1st Gen TSMC 7nm (aka no EUV) was a great node. Basic 7nm nodes can be done without EUV using multi-patterning JUST FINE! It's only at the 5nm class nodes where EUV becomes a practical necessity.

  • @SomeGuy-ne3yl
    @SomeGuy-ne3yl Před 2 lety

    i didnt quite get 16:10
    i mean, i got how 7 nm do not correspond to half pitch length anymore, but what's the difference from company to company if they announce 7 nm?

  • @paxundpeace9970
    @paxundpeace9970 Před 2 lety

    Really scares for general production are not EUV based chips but those for cars and other useage from 30 to 14nm.

  • @jamesmetz5147
    @jamesmetz5147 Před rokem

    That's for the details. It must have been taxing.

  • @MostlyPennyCat
    @MostlyPennyCat Před rokem

    So what's the gate pitch now we've moved to EUV?
    And have they ditched all the added complexity of all that lelele stuff?

  • @y1QAlurOh3lo756z
    @y1QAlurOh3lo756z Před 2 lety

    How do you carry out your researches when preparing for a video? Curious to learn your strategy and methodology for finding leads and organizing information.

    • @carstenraddatz5279
      @carstenraddatz5279 Před 2 lety

      Check out the Compounding Curiosity audio podcast, in one episode he talks about his research process.

  • @dosmastrify
    @dosmastrify Před 2 lety

    Arf
    Lele.
    This guy is deadpan genius

  • @chungchihsu2000
    @chungchihsu2000 Před rokem

    Is changing the material from Si to Ge possible? Is there enough advantage for doing that? I know how to make high quality Ge on Si.

  • @NicolasChanCSY
    @NicolasChanCSY Před 2 lety +1

    Thanks for your amazing video with remarkably clear explanation about the different lithography methods.
    I wonder if it is possible for you to make a video why no one talks about X-ray lithography? I mean, X-ray has a shorter wavelength than EUV, so I think it is logical to say that X-ray is more suitable when we go into even smaller nodes in the future.
    Has anyone tried it? What are the obstacles? Is it on the roadmap beyond High-NA EUV?

    • @artiem5262
      @artiem5262 Před 2 lety +1

      Ah, what they call "EUV" had a different designation when I was a physics student some decades ago -- we called that wavelength "soft X-rays..." So in one sense the industry has been doing X-ray lithography for a while now.

    • @thecraggrat
      @thecraggrat Před 2 lety +2

      Yeah, EUV litho tools are really soft X-ray tools. The wavelength is 13.5nm.
      Also the optic are reflective, as is the reticle...
      I think there is a lot of learning to be had with EUV tools before we think about smaller wavelengths. TBH with the GAA transistors, I think the way forward is going to be with multiple layers of transistors and parallel processing rather than ever faster and smaller transistors, given most of the reasoning for smaller transistors is to increase transistor density. If you can build multiple layers of transistors, then a density increase can come from just adding another layer...(and of course dealing with the additional heat produced, you don't get something for nothing- This may get taken care of by diamond heat pipes, we'll see!)

    • @erkinalp
      @erkinalp Před 2 lety

      @@thecraggrat With more stacking comes more heat...

    • @thecraggrat
      @thecraggrat Před 2 lety

      @@erkinalp Yes of course it does, which was why I mentioned that there will likely have to be something like diamond films deposited between transistor layers to help bring the heat out of the core to heat dissipation structures. What this will be like I don't know, but diamond is a very good heat conductor...Current DLC films have thermal conductivities of up to ~3.5W/m.K which is better than Si (~150W/m.K), way off Cu (~400W/m.K), but you don't want any Cu around the transistors (bad things happen), and miles away from single crystal diamond (~2200W/m.K)! Improving the ordering of the DLC structure can increase the thermal conductivity, but there is a long way before it matches single crystal diamond.

  • @Jennn
    @Jennn Před 2 lety

    1:14 can totally Relate to Your Style~! Ah ha ha! XD

  • @leyasep5919
    @leyasep5919 Před 2 lety

    0:11 Is it available with Amazon Prime for next day delivery ? Can the delivery people just drop it at my door ?

  • @yash_kambli
    @yash_kambli Před 2 lety

    I want to meet those scientist, engineers and researchers were behind this marvelous technology. I strongly believe even after watching this video 100th of times, i couldn't totally understand the whole concepts. Nonetheless great video.

  • @kalliste23
    @kalliste23 Před 2 lety

    Great video.
    When I use a word,” Humpty Dumpty said in rather a scornful tone, “it means just what I choose it to mean - neither more nor less.”
    “The question is,” said Alice, “whether you can make words mean so many different things.”
    “The question is,” said Humpty Dumpty, “which is to be master - - that’s all.”

  • @GODVxXxV
    @GODVxXxV Před 2 lety +1

    7nm without euv will be great boom for low end laptop in the future

  • @bennyhsu5347
    @bennyhsu5347 Před 2 lety +13

    LE-LE-LE🤣