Getting Started With VHDL on Windows (GHDL & GTKWave)
Vložit
- čas přidán 19. 06. 2024
- This is a complete guide on installing, running, and simulating a VHDL circuit on Windows using the two free and open source EDA tools - GHDL & GTKWave.
For a Linux version of this guide, click here: • Getting Started with V... - Věda a technologie
Setting up:
00:52 Download of tools (notepad++, GHDL, GTKWave)
04:50 Configuration of directories for tool files
06:24 Setting up path environment variables
09:43 Creation of a working directory (a folder in the desktop)
Tutorial:
10:53 Half-adder tutorial
16:04 Creating the tester
26:30 Analyzing the files
30:12 Creation and visualization of the test signals with GTKWave
Some commands of ghdl and gtkwave to keep in mind:
//To syntax-test the file
ghdl -s [vhdl_file_name]
//To logic-analyze the file (this also allows you to only write the entity when building the exec)
ghdl -a [vhdl_file_name]
//To build the executable of the test (elaborate)
ghdl -e [entity]
//To run the test and output results to a file
ghdl -r [entity] --vcd=[any_name].vcd
//To visualize the waves from outputted file
gtkwave [output_file]
Thanks a lot for detailed description of installing the tools and running the first program. Thank you so much.
Even this video has years ago, you just saved me. Thank you.
Excellent Video. Great Tutorial and refresher. I haven't used a VHDL simulator since mid to late '90s when Cypress brought out Warp VHDL for $100.00.
thanks dave, this was really helpful for a beginner like me in VHDL
Wow I didn't expect it to be this simple. Great tutorial.
0:15 "All the links, code and commands that I used in this guide are listed in the description below." Ah yeah, not, they are not. D:
Never the less this tutorial is exactly what I was looking for, thank you and subscribed...
Thanks @Dave its a really good explanation about installing ghdl and GTKwave. In addition, you explained well how can we start program and simulation with this tool. Thanks for this video
This tutorial is gold. Thanks!
Man you just save my academic life, thanks from Brazil🇧🇷🙌
Me and my classmates thank you so much for the video. It helped us a lot.
2021, this video is what allowed me to download GHDL and make it work. Thanks! Now i can exercise for my exam :)
This video is so much better than the instruction on our lab manual
Great guide, worked flawlessly. Thank you so much! You have earned my sub :)
I followed the steps and got the same results. Great tutorial, thanks.
Thank you so much, it was a of a lot of help!
This video helped me so much!
Thank you for this. perfect walk through
thank you for these very clear instructions
Great tutorial dude, thank you very much :-)
it works good ! Just example of test bench which he showed is not working but his explanation is correct. Thanks a lot
Great video! Better work than my college teachers
Perfect guide! Thank you
thanks a ton.
this tutorial is just perfect for beginners, like me.
Amazing tutorial!!!Thank you^^
Excellent video, Thank You very much !!
thanks, very clear, and it helps a lot to understand..
Thank you so much, it works!
just perfect, very helpfull. thanks
thanks a lot, it was very helpful
Thank you so much for the video!
ty buddy... helped me a lot
Thank you so much
It was really helpful
Very good tutorial ! 👍👍👍
Thank you !!!
Great video! Thanks a lot
this man is a god, I love you
ty sooo much, still works in 2023
And still works in 2024
Love this tutorial
Very good, thanks a bunch! :D
that was a great tutorial!!!
Thank you so much
Great video
thank you for the lecture. please at the elaboration stage of the test bench brought compilation error
THANKS it was very helpfull
Best video who want to use GHDL
thanku ...u saved me ..lyk completely...
You saved my life
thank you. it is very good.
the newest version doesnt have an include folder? where should the path point to?
Thanks a lot
thank you very much
A very useful video, well presented. You refer to videos on other EDA tools also being available where we can convert circuits to VHDL, can you share the link please.
Thanks alot. very nice :-)
Would this work with SystemVerilog too?
Hi Dave, in your video (about time marker 6:17) you talk about eda tools, pcb/schema tools and even tools that can convert a schema to VHDL code. I am very interested in such a solution. Can you tell me which tool can do this. Thanks Henk.
thank you!
Thanks a lot!!
awesome Video btw :D
Hi, i have windows 8 and i dont know how to setting like you did in the beginning.
i cant find the bin directory of ghdl i downloaded from github
thank youu
At 9:58, you can instead just shift+right-click then select "open command window here".
Quicker than opening cmd and running cd/dir.
Thanks for the video, by the way. Immensely helpful!
I can't run behaviour model of Full adder please help
How can I run/use a MakeFile in windows with ghdl?
Nice tutorial but I can't fix this:
"e~ha.o: file not recognized: File format not recognized
collect2.exe: error: ld returned 1 exit status
C:\eda\GHDL\GHDL\bin\ghdl.exe: compilation error"
While creating .vcd file getting the error "unknown option '--vcd-ha.vcd' , try -help " Can you tell me why am I getting this? Rest everything worked till before that point
You should use = instead of - .
'--vcd=ha.vcd'
Thanks for the good material. Just have a problem when trying to use "ghdl" command for the first time on the cmd window. It does not recognize it and says "ghdl is not recognized as an internal or external command, operable program or batch file".I have windows 7 on my laptop and when trying to set the system environment variables, the layout of setting is a little different with how it looks like on your video. Pls help me to resolve this issue.
I have the same problem
Did you solve it?
I solved that issue changing the path. I typed also "cd OneDrive" before type "cd Desktop". Sorry my English!(If there are any mistakes point me the grammatical errors,please)
Thanks buddy,it is very helpful.But I am facing a error while compilation.It says "file std_logic_1164.v93 has changed and needs to be reanalyzed".Could you please help me with it.
Rushikesh Shinde which version of ghdl did you use? Bcoz I followed all instructions and got output successfully.
Great Video!. We can make a script for the commands and save it in a .bat file.
Ok, I had problems with the 64 bit executable. First it couldn't find the gcc compiler. So I opened it in Cygwin64, and it found the C compiler.
Then it could not finding zlib when running elaborate. (-lz not found). installing every thing that was some version of zlib, elftools and everything else I could think off made it fail with unresolved symbols.
So tried the 32 bit version (github.com/ghdl/ghdl/releases/download/v0.36/ghdl-0.36-mingw32-mcode.zip) and everything worked, from a straight cmd.exe window :P
GTKwave 64 bit worked fine.
Although I keep having to do this in new cmd.exe windows, which is rather annoying:
PATH=d:\eda\ghdl\bin;d:\eda\gtkwave\bin;%PATH%
Despite having followed the environment variable editing you mentioned. I checked it again, it was still there in the windows way of editing it, but path at the actual command prompt would always be something else. Perhaps because of the bazillion different programming environments I have set up over the years I have had this computer apparently there is also a per user path for me as well.. Maybe this is over riding it?
Any ideas?
Hey getting error in -e
Cannot find -lz
Instead of Notepad++ you can use Visual Studio Code with VHDL Extentions. Makes coding much easier :)
Hi, in command prompt gtkwave shows no symbols in vcd files....please consider this error..anyone explain?
you need to click on the component in vcd :P
THANKS it was very helpfull
perfect (y)
How can i get 2 bit output with 2 one bit inputs ?
hey, i havent even started learning vhdl yet, but try googling "combining inputs vhdl" or something like that. you may find your answer!
hey don't forget to bound ports using :
" for half_adder: ha use entity work.ha; "
excellent tutorial, however i still get an error when trying to execute gtkwave from the command prompt, no idea how to fix it, registry and path variables have been set.
edit: I just had to restart the pc *facepalm*
*facepalm*
THANKS
i set path as you said but i get some error as ghdl is not recognized internal or external command operable command or batch file ....can anyone explain what it is?
:(
bro you not draw circuit by programming l
Writing a bash script based on this: github.com/vivi90/hardrun So thank you very much for this video. :-)
error: cannot find entity or configuration test
same here
The file name must be as entity name, bro.
Hi I have the same Problem, how to you resolve it
@@Afriqueunis idk, I gave up
@@VOgaming51official I resolve, the problem was that the entity wasn't the file name, you have to make sure that the entity name is the same with the file name.
😅
Thank you so much