Getting Started With VHDL on Windows (GHDL & GTKWave)

Sdílet
Vložit
  • čas přidán 19. 06. 2024
  • This is a complete guide on installing, running, and simulating a VHDL circuit on Windows using the two free and open source EDA tools - GHDL & GTKWave.
    For a Linux version of this guide, click here: • Getting Started with V...
  • Věda a technologie

Komentáře • 102

  • @miguelsandoval6800
    @miguelsandoval6800 Před 4 lety +32

    Setting up:
    00:52 Download of tools (notepad++, GHDL, GTKWave)
    04:50 Configuration of directories for tool files
    06:24 Setting up path environment variables
    09:43 Creation of a working directory (a folder in the desktop)
    Tutorial:
    10:53 Half-adder tutorial
    16:04 Creating the tester
    26:30 Analyzing the files
    30:12 Creation and visualization of the test signals with GTKWave
    Some commands of ghdl and gtkwave to keep in mind:
    //To syntax-test the file
    ghdl -s [vhdl_file_name]
    //To logic-analyze the file (this also allows you to only write the entity when building the exec)
    ghdl -a [vhdl_file_name]
    //To build the executable of the test (elaborate)
    ghdl -e [entity]
    //To run the test and output results to a file
    ghdl -r [entity] --vcd=[any_name].vcd
    //To visualize the waves from outputted file
    gtkwave [output_file]

  • @cmdeanil
    @cmdeanil Před 4 lety +1

    Thanks a lot for detailed description of installing the tools and running the first program. Thank you so much.

  • @GustavoSalazar24
    @GustavoSalazar24 Před 2 lety

    Even this video has years ago, you just saved me. Thank you.

  • @timothyreilly6742
    @timothyreilly6742 Před 4 lety

    Excellent Video. Great Tutorial and refresher. I haven't used a VHDL simulator since mid to late '90s when Cypress brought out Warp VHDL for $100.00.

  • @divyanshpandit6509
    @divyanshpandit6509 Před 3 lety +1

    thanks dave, this was really helpful for a beginner like me in VHDL

  • @developandplay
    @developandplay Před 6 lety

    Wow I didn't expect it to be this simple. Great tutorial.

  • @MrGoatflakes
    @MrGoatflakes Před 5 lety +6

    0:15 "All the links, code and commands that I used in this guide are listed in the description below." Ah yeah, not, they are not. D:
    Never the less this tutorial is exactly what I was looking for, thank you and subscribed...

  • @MukeshGhosh123
    @MukeshGhosh123 Před 3 lety

    Thanks @Dave its a really good explanation about installing ghdl and GTKwave. In addition, you explained well how can we start program and simulation with this tool. Thanks for this video

  • @jacobdykstra8499
    @jacobdykstra8499 Před 6 lety +1

    This tutorial is gold. Thanks!

  • @pedrohenriqueberti5125

    Man you just save my academic life, thanks from Brazil🇧🇷🙌

  • @bjarnisvanurbirgisson4147

    Me and my classmates thank you so much for the video. It helped us a lot.

  • @karetenshinomatsu6127
    @karetenshinomatsu6127 Před 2 lety

    2021, this video is what allowed me to download GHDL and make it work. Thanks! Now i can exercise for my exam :)

  • @naihefeng1455
    @naihefeng1455 Před 7 lety +1

    This video is so much better than the instruction on our lab manual

  • @awildted3265
    @awildted3265 Před rokem +1

    Great guide, worked flawlessly. Thank you so much! You have earned my sub :)

  • @richardbloemenkamp8532
    @richardbloemenkamp8532 Před 4 lety +3

    I followed the steps and got the same results. Great tutorial, thanks.

  • @wilsonkiler
    @wilsonkiler Před 4 lety +2

    Thank you so much, it was a of a lot of help!

  • @guilhermefrancisco1956
    @guilhermefrancisco1956 Před 4 lety +3

    This video helped me so much!

  • @CollinBarlage
    @CollinBarlage Před 7 lety

    Thank you for this. perfect walk through

  • @filmpjeslader
    @filmpjeslader Před 7 lety

    thank you for these very clear instructions

  • @kingkevin23
    @kingkevin23 Před 3 lety +4

    Great tutorial dude, thank you very much :-)

  • @monfry2675
    @monfry2675 Před rokem

    it works good ! Just example of test bench which he showed is not working but his explanation is correct. Thanks a lot

  • @n.i.s.h.i.
    @n.i.s.h.i. Před 4 lety +4

    Great video! Better work than my college teachers

  • @license2Bort
    @license2Bort Před 6 lety

    Perfect guide! Thank you

  • @nel_tu_
    @nel_tu_ Před 7 lety +1

    thanks a ton.
    this tutorial is just perfect for beginners, like me.

  • @PlakakiChannel
    @PlakakiChannel Před 6 lety +1

    Amazing tutorial!!!Thank you^^

  • @luxolfmh
    @luxolfmh Před 6 lety

    Excellent video, Thank You very much !!

  • @mounam465
    @mounam465 Před 6 lety

    thanks, very clear, and it helps a lot to understand..

  • @renanlarrieu
    @renanlarrieu Před 4 lety +2

    Thank you so much, it works!

  • @emmanuelpossi
    @emmanuelpossi Před měsícem +1

    just perfect, very helpfull. thanks

  • @heisenbergtraducciones819

    thanks a lot, it was very helpful

  • @StellarMoig
    @StellarMoig Před 3 lety

    Thank you so much for the video!

  • @t07minas
    @t07minas Před 5 lety +2

    ty buddy... helped me a lot

  • @sourabhjain3346
    @sourabhjain3346 Před 7 lety

    Thank you so much
    It was really helpful

  • @eddyfontaineyoutu100
    @eddyfontaineyoutu100 Před 7 lety

    Very good tutorial ! 👍👍👍
    Thank you !!!

  • @Vladili
    @Vladili Před 7 lety

    Great video! Thanks a lot

  • @magic7927
    @magic7927 Před 5 lety

    this man is a god, I love you

  • @harveyspecter7491
    @harveyspecter7491 Před rokem +5

    ty sooo much, still works in 2023

  • @KrishRastogi120586
    @KrishRastogi120586 Před 7 lety

    Love this tutorial

  • @user-lp2op9uu1w
    @user-lp2op9uu1w Před 7 lety

    Very good, thanks a bunch! :D

  • @romiljain1240
    @romiljain1240 Před 6 lety

    that was a great tutorial!!!

  • @Onur_Can8
    @Onur_Can8 Před 4 lety +3

    Thank you so much

  • @patricioburga4328
    @patricioburga4328 Před 6 lety +1

    Great video

  • @janetjooda2670
    @janetjooda2670 Před 2 lety

    thank you for the lecture. please at the elaboration stage of the test bench brought compilation error

  • @everythinginlife2379
    @everythinginlife2379 Před 6 lety

    THANKS it was very helpfull

  • @anishshirur7955
    @anishshirur7955 Před 2 lety

    Best video who want to use GHDL

  • @janhavidesale8755
    @janhavidesale8755 Před 6 lety

    thanku ...u saved me ..lyk completely...

  • @CarlosLlamas24
    @CarlosLlamas24 Před 7 lety

    You saved my life

  • @abbasjafari7365
    @abbasjafari7365 Před 7 lety

    thank you. it is very good.

  • @babotvoj
    @babotvoj Před 3 lety +5

    the newest version doesnt have an include folder? where should the path point to?

  • @HimanshuSingh-jq7yg
    @HimanshuSingh-jq7yg Před 4 lety +2

    Thanks a lot

  • @antont6688
    @antont6688 Před 3 lety +1

    thank you very much

  • @irfanmajid4772
    @irfanmajid4772 Před 3 lety

    A very useful video, well presented. You refer to videos on other EDA tools also being available where we can convert circuits to VHDL, can you share the link please.

  • @sajad.behzadi
    @sajad.behzadi Před 5 lety

    Thanks alot. very nice :-)

  • @markross7102
    @markross7102 Před 6 lety +1

    Would this work with SystemVerilog too?

  • @henkeekris7550
    @henkeekris7550 Před 2 lety

    Hi Dave, in your video (about time marker 6:17) you talk about eda tools, pcb/schema tools and even tools that can convert a schema to VHDL code. I am very interested in such a solution. Can you tell me which tool can do this. Thanks Henk.

  • @antonyguilherme8657
    @antonyguilherme8657 Před 2 lety

    thank you!

  • @daro9498
    @daro9498 Před 7 lety

    Thanks a lot!!

  • @xXCrytek
    @xXCrytek Před 3 lety

    awesome Video btw :D

  • @jafetrios_
    @jafetrios_ Před 5 lety

    Hi, i have windows 8 and i dont know how to setting like you did in the beginning.

  • @claudinebustillo3274
    @claudinebustillo3274 Před 2 lety +2

    i cant find the bin directory of ghdl i downloaded from github

  • @catherineavagimyan1773
    @catherineavagimyan1773 Před 3 lety +1

    thank youu

  • @Sposchy
    @Sposchy Před 7 lety

    At 9:58, you can instead just shift+right-click then select "open command window here".
    Quicker than opening cmd and running cd/dir.
    Thanks for the video, by the way. Immensely helpful!

  • @prathameshthikane1089
    @prathameshthikane1089 Před 3 lety

    I can't run behaviour model of Full adder please help

  • @RangS92
    @RangS92 Před 7 lety

    How can I run/use a MakeFile in windows with ghdl?

  • @mosaic_music
    @mosaic_music Před 2 lety

    Nice tutorial but I can't fix this:
    "e~ha.o: file not recognized: File format not recognized
    collect2.exe: error: ld returned 1 exit status
    C:\eda\GHDL\GHDL\bin\ghdl.exe: compilation error"

  • @bong_in_holland
    @bong_in_holland Před 7 lety +1

    While creating .vcd file getting the error "unknown option '--vcd-ha.vcd' , try -help " Can you tell me why am I getting this? Rest everything worked till before that point

  • @mohammadmovahhedian7524
    @mohammadmovahhedian7524 Před 6 lety +1

    Thanks for the good material. Just have a problem when trying to use "ghdl" command for the first time on the cmd window. It does not recognize it and says "ghdl is not recognized as an internal or external command, operable program or batch file".I have windows 7 on my laptop and when trying to set the system environment variables, the layout of setting is a little different with how it looks like on your video. Pls help me to resolve this issue.

    • @TheBryanbpt1
      @TheBryanbpt1 Před 6 lety

      I have the same problem
      Did you solve it?

    • @luigiizzo452
      @luigiizzo452 Před 5 lety

      I solved that issue changing the path. I typed also "cd OneDrive" before type "cd Desktop". Sorry my English!(If there are any mistakes point me the grammatical errors,please)

  • @rushikeshshinde1992
    @rushikeshshinde1992 Před 6 lety

    Thanks buddy,it is very helpful.But I am facing a error while compilation.It says "file std_logic_1164.v93 has changed and needs to be reanalyzed".Could you please help me with it.

    • @Ashish447
      @Ashish447 Před 6 lety

      Rushikesh Shinde which version of ghdl did you use? Bcoz I followed all instructions and got output successfully.

  • @Ferproko
    @Ferproko Před 2 lety

    Great Video!. We can make a script for the commands and save it in a .bat file.

  • @MrGoatflakes
    @MrGoatflakes Před 5 lety +4

    Ok, I had problems with the 64 bit executable. First it couldn't find the gcc compiler. So I opened it in Cygwin64, and it found the C compiler.
    Then it could not finding zlib when running elaborate. (-lz not found). installing every thing that was some version of zlib, elftools and everything else I could think off made it fail with unresolved symbols.
    So tried the 32 bit version (github.com/ghdl/ghdl/releases/download/v0.36/ghdl-0.36-mingw32-mcode.zip) and everything worked, from a straight cmd.exe window :P
    GTKwave 64 bit worked fine.
    Although I keep having to do this in new cmd.exe windows, which is rather annoying:
    PATH=d:\eda\ghdl\bin;d:\eda\gtkwave\bin;%PATH%
    Despite having followed the environment variable editing you mentioned. I checked it again, it was still there in the windows way of editing it, but path at the actual command prompt would always be something else. Perhaps because of the bazillion different programming environments I have set up over the years I have had this computer apparently there is also a per user path for me as well.. Maybe this is over riding it?
    Any ideas?

  • @votemail8266
    @votemail8266 Před 5 lety

    Hey getting error in -e
    Cannot find -lz

  • @xXCrytek
    @xXCrytek Před 3 lety +4

    Instead of Notepad++ you can use Visual Studio Code with VHDL Extentions. Makes coding much easier :)

  • @kalaiyarasiretaw2407
    @kalaiyarasiretaw2407 Před 5 lety

    Hi, in command prompt gtkwave shows no symbols in vcd files....please consider this error..anyone explain?

    • @MrGoatflakes
      @MrGoatflakes Před 5 lety

      you need to click on the component in vcd :P

  • @pedroverissimo4448
    @pedroverissimo4448 Před 7 lety

    THANKS it was very helpfull

  • @khaledbounecer3742
    @khaledbounecer3742 Před 7 lety

    perfect (y)

  • @saygod3755
    @saygod3755 Před 3 lety

    How can i get 2 bit output with 2 one bit inputs ?

    • @lemonandgaming6013
      @lemonandgaming6013 Před 3 lety

      hey, i havent even started learning vhdl yet, but try googling "combining inputs vhdl" or something like that. you may find your answer!

  • @unknown-yv7jd
    @unknown-yv7jd Před 4 lety +1

    hey don't forget to bound ports using :
    " for half_adder: ha use entity work.ha; "

  • @alexmercier2563
    @alexmercier2563 Před 6 lety +1

    excellent tutorial, however i still get an error when trying to execute gtkwave from the command prompt, no idea how to fix it, registry and path variables have been set.
    edit: I just had to restart the pc *facepalm*

  • @virtiparekh1394
    @virtiparekh1394 Před 5 lety +1

    i set path as you said but i get some error as ghdl is not recognized internal or external command operable command or batch file ....can anyone explain what it is?

  • @ahmadfamily6839
    @ahmadfamily6839 Před 2 lety

    bro you not draw circuit by programming l

  • @suk0m8u73
    @suk0m8u73 Před 4 lety +2

    Writing a bash script based on this: github.com/vivi90/hardrun So thank you very much for this video. :-)

  • @VOgaming51official
    @VOgaming51official Před rokem

    error: cannot find entity or configuration test

    • @ababilababil8280
      @ababilababil8280 Před rokem

      same here

    • @Emad-Rabie
      @Emad-Rabie Před rokem +1

      The file name must be as entity name, bro.

    • @Afriqueunis
      @Afriqueunis Před rokem

      Hi I have the same Problem, how to you resolve it

    • @VOgaming51official
      @VOgaming51official Před rokem

      @@Afriqueunis idk, I gave up

    • @Afriqueunis
      @Afriqueunis Před rokem

      @@VOgaming51official I resolve, the problem was that the entity wasn't the file name, you have to make sure that the entity name is the same with the file name.

  • @farhasobhy6847
    @farhasobhy6847 Před rokem +1

    😅

  • @sarahh4517
    @sarahh4517 Před 3 lety

    Thank you so much