Sikhna Sikhana
Sikhna Sikhana
  • 106
  • 696 599

Video

VHDL Code for BCD to Excess 3 Code converter
zhlédnutí 605Před rokem
VHDL Code for BCD to Excess 3 Code converter
How to use Xilinx | VHDL code for AND Gate
zhlédnutí 98Před rokem
How to use Xilinx | VHDL code for AND Gate
VHDL code for Four Bit Comparator
zhlédnutí 723Před rokem
VHDL code for Four Bit Comparator
VHDL code for Full Adder using Xilinx FPGA
zhlédnutí 559Před rokem
VHDL code for Full Adder using Xilinx FPGA
Assembly Language Program for addition of two BCD number using 8085 microprocessor
zhlédnutí 2,4KPřed rokem
Assembly Language Program for addition of two BCD number using 8085 microprocessor
Addressing Mode in 8085 Microprocessor
zhlédnutí 244Před rokem
Addressing Mode in 8085 Microprocessor
Demultiplexing of Data and Address Bus of 8085 | What is the use of ALE pin of 8085
zhlédnutí 349Před rokem
Demultiplexing of Data and Address Bus of 8085 | What is the use of ALE pin of 8085
Control and Status Signals of 8085 microprocessor
zhlédnutí 1,3KPřed rokem
Control and Status Signals of 8085 microprocessor
Timing Diagram of Op Code Fetch Machin Cycle of 8085 microprocessor
zhlédnutí 550Před rokem
Timing Diagram of Op Code Fetch Machin Cycle of 8085 microprocessor
Interrupt in 8085 microprocessor | What is Interrupt?
zhlédnutí 187Před rokem
Interrupt in 8085 microprocessor | What is Interrupt?
Pin Diagram/ Signal Diagram of 8085 Microprocessor
zhlédnutí 196Před rokem
Pin Diagram/ Signal Diagram of 8085 Microprocessor
Flag Register of 8085 Microprocessor
zhlédnutí 270Před rokem
Flag Register of 8085 Microprocessor
Program for Hex to ASCII Code Conversion in 8085 | @Dr. Lalchand Patle
zhlédnutí 600Před 2 lety
Program for Hex to ASCII Code Conversion in 8085 | @Dr. Lalchand Patle
Multiplication of two 8 bit numbers in 8085 | @Dr. Lalchand Patle
zhlédnutí 4,4KPřed 2 lety
Multiplication of two 8 bit numbers in 8085 | @Dr. Lalchand Patle
Assembly Language Program of 8085 for Beginners | Addition of 8-bit numbers | @lalpatle
zhlédnutí 340Před 2 lety
Assembly Language Program of 8085 for Beginners | Addition of 8-bit numbers | @lalpatle
Assembly Language Program to Find Factorial of Given Number using 8051 | @lalpatle
zhlédnutí 2KPřed 2 lety
Assembly Language Program to Find Factorial of Given Number using 8051 | @lalpatle
Amplitude Modulation Practical | @Dr. Lalchand Patle
zhlédnutí 21KPřed 2 lety
Amplitude Modulation Practical | @Dr. Lalchand Patle
Frequency Modulation Practical Explained in Hindi | @lalpatle @asccollegechopda5477
zhlédnutí 9KPřed 2 lety
Frequency Modulation Practical Explained in Hindi | @lalpatle @asccollegechopda5477
Smallest Number using 8085 | @lalpatle
zhlédnutí 412Před 2 lety
Smallest Number using 8085 | @lalpatle
Sum of Series using Assembly Language Programming for 8085
zhlédnutí 512Před 2 lety
Sum of Series using Assembly Language Programming for 8085
How to use Sim8085 Simulator for 8085 ALP Programming
zhlédnutí 1,1KPřed 2 lety
How to use Sim8085 Simulator for 8085 ALP Programming
Addition of two 8 bit Numbers using 8085 microprocessor | @Dr. Lalchand Patle
zhlédnutí 4,9KPřed 2 lety
Addition of two 8 bit Numbers using 8085 microprocessor | @Dr. Lalchand Patle
Assembly Language Program for Addition of two 8 bit using 8085 Microprocessor | @Dr. Lalchand Patle
zhlédnutí 531Před 2 lety
Assembly Language Program for Addition of two 8 bit using 8085 Microprocessor | @Dr. Lalchand Patle
Thevenin's Theorem Example | #Dr. Lalchand B Patle
zhlédnutí 33Před 2 lety
Thevenin's Theorem Example | #Dr. Lalchand B Patle
Thevenin's Theorem with Example | #Dr. Lalchand Patle
zhlédnutí 32Před 2 lety
Thevenin's Theorem with Example | #Dr. Lalchand Patle
Star to Delta Conversion Example 2
zhlédnutí 29Před 2 lety
Star to Delta Conversion Example 2
Star to Delta Conversion Example 1
zhlédnutí 21Před 2 lety
Star to Delta Conversion Example 1
Star to Delta Conversion
zhlédnutí 42Před 2 lety
Star to Delta Conversion
Star Delta Conversion | Delta to Star Conversion | @lalpatle @asccollegechopda5477
zhlédnutí 311Před 2 lety
Star Delta Conversion | Delta to Star Conversion | @lalpatle @asccollegechopda5477

Komentáře

  • @IRONPUNCH2004
    @IRONPUNCH2004 Před 2 dny

    It was a good class sir. I was able to understand more than from my class

  • @ExperimentSwatch
    @ExperimentSwatch Před 16 dny

    Sir, FM wala IC ka number kya hai plz reply

  • @SushilKumar-ts4zf
    @SushilKumar-ts4zf Před měsícem

    Sir - Agar inhi mails pr dobara reminder dena hai 1 sath kaise denge Pls reply

  • @mdikbalmondal6497
    @mdikbalmondal6497 Před měsícem

    Thank you ❤

  • @mythicpixelgaming44
    @mythicpixelgaming44 Před měsícem

    Best video I have ever seen on timing diagram

  • @theflamecoreguy7929
    @theflamecoreguy7929 Před 2 měsíci

    very nice

  • @AFSMG
    @AFSMG Před 2 měsíci

    Please how can I install EDSim51. Thank you so much

  • @eesalasumalatha8048
    @eesalasumalatha8048 Před 2 měsíci

    Thank you sir help us

  • @realhuman767
    @realhuman767 Před 2 měsíci

    Thanks sir start making videos again

  • @jbdigital9175
    @jbdigital9175 Před 3 měsíci

    Sir, if you have 500 responses and you are allowed only 100 a day emails then?, is this possible to send certificates to selected responses?

  • @dtswatipandey5732
    @dtswatipandey5732 Před 3 měsíci

    Is it free? Upto how much certificate?

  • @dtswatipandey5732
    @dtswatipandey5732 Před 3 měsíci

    Is it for more than 500 certificate, or free

  • @ClaytonS.Domingo
    @ClaytonS.Domingo Před 3 měsíci

    What are the alternative way since Google chart API is no longer active.... Thank you

  • @momin26206
    @momin26206 Před 3 měsíci

    bohot behtareen information..

  • @mahasagarcreate2035
    @mahasagarcreate2035 Před 3 měsíci

    ID card me use kaise kare ??????

  • @hazaratravelmarketingislam8217

    how to solve the problem when =image($A2) does not work? I mean the QR code does not appears. please reply me ASAP.

  • @jasniesalim8806
    @jasniesalim8806 Před 3 měsíci

    Very nice, thanks.

  • @futurecareeducation1
    @futurecareeducation1 Před 4 měsíci

    Hlo bro

  • @bobyverma0844
    @bobyverma0844 Před 5 měsíci

    Sir what was voltage set in function generator

  • @harishsuryawanshi1310
    @harishsuryawanshi1310 Před 5 měsíci

    Very Nice information sir❤❤

  • @rutvijhedamba3908
    @rutvijhedamba3908 Před 5 měsíci

    How to send to multiple whats app number ?

  • @taahaa4023
    @taahaa4023 Před 5 měsíci

    Now I can understand how Indian engineers and students are the CEOs of most famous companies of the world. And how India is becoming an IT hub of Asia. Well done

  • @btshatersneedhighfiveonface

    Thankyoi

  • @Rutuja_Gaikwad-s2b
    @Rutuja_Gaikwad-s2b Před 5 měsíci

    very nice explanation sir thank you so much sir

  • @user-zy6ux8cr4q
    @user-zy6ux8cr4q Před 5 měsíci

    sb kuch kra bro pr qr photo aa mi rhi certificate pr

  • @learnenglishwithaliraza6197

    excellent description explanation and elaboration.

  • @rajbirsheoran
    @rajbirsheoran Před 6 měsíci

    Super explanation ❤

  • @Sheeeeeeeeesh_
    @Sheeeeeeeeesh_ Před 6 měsíci

    Good Job , Thanks For Wonderful Explaination❤

  • @aartisahu8931
    @aartisahu8931 Před 6 měsíci

    Thank you so much sir 🙏♥️

  • @RohitKumar-nu1tg
    @RohitKumar-nu1tg Před 7 měsíci

    Indian navy ki site hai aur live photo capture karna hai but site camera access maang rahi hai but allow kaise deni hai kyunki camera ke neeche site ki link show bhi nahi ho rahi hai

  • @RohitKumar-nu1tg
    @RohitKumar-nu1tg Před 7 měsíci

    Camera ke neeche kisi bhi site ki link nahi aati wo kaise aayegi

  • @rajinderkaur2895
    @rajinderkaur2895 Před 7 měsíci

    hello Sir .....thank you so much. Is it possible to print two or three result of tests in one sheet.

  • @harshitagupta1555
    @harshitagupta1555 Před 7 měsíci

    What an amazing teacher 👏❤❤

  • @tejalpatil1498
    @tejalpatil1498 Před 7 měsíci

    👍👍🙏

  • @tinabavisakr6931
    @tinabavisakr6931 Před 7 měsíci

    Thank you sir

  • @akeyblog9727
    @akeyblog9727 Před 8 měsíci

    Adhuri jankari

  • @techakey9528
    @techakey9528 Před 8 měsíci

    भाई जो एक बार आप की वीडियो से बाहर जायेगा दूसरी वीडियो देखने के लिए वो वापिस तो नहीं आयेगा अच्छा हे एक वीडियो में पूरी जानकारी दी जाए

  • @im_creative1
    @im_creative1 Před 8 měsíci

    Gajab ka explanation 🎉, kya baat hai waah . Thank you so much

  • @rever9289
    @rever9289 Před 8 měsíci

    Develop an 8051 microcontroller assembly language program to interface virtual DAC with 8051 and perform digital to analog conversion operation on EdSim 51 simulator

  • @iqbalmohammad786
    @iqbalmohammad786 Před 8 měsíci

    Html se banao sir

  • @sankiliudaykumar7077
    @sankiliudaykumar7077 Před 8 měsíci

    Wonderful bro really it's useful

  • @bholanathadhikary9695
    @bholanathadhikary9695 Před 8 měsíci

    Thank you so much ❤❤❤

  • @gaurav__5653
    @gaurav__5653 Před 8 měsíci

    Thanks a lot sir🙏🏻❤️

  • @digitalrelier
    @digitalrelier Před 8 měsíci

    Photo Qr code dono nhi aarhe h certificate pr bhai

  • @NileshSahu-ym9nc
    @NileshSahu-ym9nc Před 9 měsíci

    Mind blowing 😮

  • @asnasayed
    @asnasayed Před 9 měsíci

    Thank u so much Sir

  • @asnasayed
    @asnasayed Před 9 měsíci

    👍👍

  • @asnasayed
    @asnasayed Před 9 měsíci

    Thnx Sir

  • @edwards2622
    @edwards2622 Před 9 měsíci

    Nearby share is pathetic

  • @vipboyx5575
    @vipboyx5575 Před 9 měsíci

    Very helpfull sir 😍😍😍